admin 管理员组文章数量: 1087135
2024年12月28日发(作者:win7怎么强制结束进程)
精品文档
晶圆处理工程用语
D.1 基本、共同用语
精品文档
精品文档
编号
编号
用语(英文/中文)
用语(英文/中文)
用 语 说 明
用 语 说 明
是将被加工对象(work)从所定位置取出之机构
是指发送机(sender)与接收机(receiver)之总
称。在处理之前后,亦有使用同一匣盒使遮光罩
(mask),晶圆等基板收纳位置不会变化之单面匣式
(uni-cassette)方式。
D1001 unloader
卸载机、卸货机
D1002 Indexer
指针器,索引器
D1003 Wafer automatic transfer system 是指将晶圆每次一片或每次多片,从匣盒自动转
晶圆自动传送系统 移至各处理装置之装置。此一装置是由匣盒载物
台(cassette stage)、晶圆搬运机器人,以及该接口
所构成,大都与匣盒搬运机械人搭配使用。
D1004 Wafer hoist
晶圆交接升降装置
系指有关晶圆输送机构之晶圆交接升降机构。大
都与附属在输送机构先端之晶圆承接臂成对搭配
使用,位在交接之制程位置,由晶圆承接部分与
驱动该部分之上下机构所组成。
系指有关形成薄膜之半导体制造装置,在各种处
理或晶圆输送时,用来保持晶圆之装置部分。
是指可将被加工对象(work)加以从横方向移动,且
可决定其精确位置之机构。
是指记录化学物质之物性、毒性、可燃性,反应
性及处理方法之安全性数据清单。为确保使用瓦
斯或药品处理装置在操作时之安全为目的,通常
与使用说明书等附加在一起。
D1005 Wafer holder
晶圆保持器
D1006 X-Y stage/X-Y table
从横移动载物台/从横移动载
物盘
D1007 material safety data sheet
材料安全数据清单
MSDS
D1008 Orientation flat arrange 是指将匣盒内晶圆之定向平面加以摆齐在一个方
equipment 向之装置。为要检查晶圆转移传送是否确实,或
晶圆定向平面摆齐装置 为要使晶圆在各处理装置内之定向决定,能顺利
所使用之装置。
D1009 cassette / magazine
晶圆匣盒/晶圆收纳盒
是指将晶圆被加工对象整齐加以收纳之装置。为
使晶圆加工对象在各制程上能容易进行搭载及卸
在载为目的,所使用之匣盒。类同之用语有
magazine一语。
系指从供给侧晶圆匣盒,将晶圆每次一片自动加
以取出,输送至处理室处理后,将晶圆逐片收纳
在收纳侧晶圆匣盒之处理方式。
系指针对计划运输时间,实际可正常运输时间之
比率。
是指成为处理对象之空白遮光罩(mask blank),晶
圆等材料总称.
指为要输送或保管晶圆之容器.在制造过程上务
D1010 cassette-to-cassette handling
匣盒间转运处理
D1011 Availability
利用度,利用率
D1012 Substrate
基板,基片
D1013 Carrier box
精品文档
精品文档
运载盒 必保持晶圆不至受到容器排放瓦斯之污染,输送
盒材质之鉴定至为重要.目前,输送盒以使用聚丙
稀(polypropylene)树脂及聚碳酸脂(polycarbonate)
的树脂为主.
指将不同装置厂家之设备或不同制程之结合,或
能将半导体装置制造商独特之制造模块,加以装
配之多加工室(multichamber)制造装置。是以
美国半导体制造装置厂家为中心之团体
MESA(Modular Equipment Standard Architecture)
所提倡者。
是指要使用热处理炉、反应室或瓦斯配管系统之
前,将纯性瓦斯引进加以净化之操作。
是将半导体制造相关设备之投资,或将营运之经
济性评价基准,以经营位皆加以模型化者。将制
造装置之寿命周期成本(life cycle cost),以装置
价格、生产性、可靠性及成品率等加以考量,而
算出每一晶圆良品成本之方法。
是指利用N极S极之磁性结合力,将外旋转驱动
力传达到真空气氛内之旋转机构。是一种非接触
旋转,因多半在真空与大气间隔着一道墙壁之构
造,其真空密封寿命为无限大,对超高真空性能
之维持很有效。
是指利用磁性反斥力之非接触性输送机构。是由
控制磁悬浮之控制电磁铁、线性马达及悬浮体等
所构成,例如遮光罩或晶圆等之基片搭载在悬浮
体上来移动。在真空中使用时,因属非接触,无
振动、无润滑油及全然不产生灰尘,具有可获得
洁净真空等大特点。
是指在真空室内,为要移送基板单体所使用输送
机构之总称。为防止例如遮光罩,晶圆等基板受
到微粒之污染,采用振动部极低之机构。就其功
能而言,一般具有直进、旋转、上下移动等功能。
是单位时间内所能处理之遮光罩或晶圆等基板之
工作数量。
是指将真空装置之真空槽,恢复到大气压之过程
中,经由调节电导阀,可以很小之导入速度缓慢
加以通气。其目的在于防止微粒飞扬。
D1014 Cluster tool
组合设备公具
D1015 Pre-purge
D1016 Cost of ownership
D1017 Magnetic coupled feedthrough
磁耦合旋转馈通
D1018 Magnetic levitation transfer
磁悬浮输送
D1019 Robot for using in vacuum
真空机械人
D1020 Throughput
生产量,工作数
D1021 Slow vent
缓慢通气
Soft vent
软性通气
编号 用语(英文/中文) 用 语 说 明
精品文档
精品文档
D1022 Slow pumping/slow roughing
缓慢排气
Soft roughmg
软性排气
D1023 Electrostatic chuck
静电夹头,静电夹盘
是指将真空装置从大气压开始真空排气过程中,
经由调节电导阀以很小之排气速度缓慢加以排
气。其目的在于防止微粒飞扬。类似之用语有软
性排气(soft roughing)。
是在载物台上设立介电层,对载物台与晶圆间施
加电压,经由发生在两者间之库伦力,将晶圆加
以吸住之机构。为要保持晶圆及温度控制,可以
在载物台或输送系统等。
是指将收纳处理前之遮光罩或晶圆等基板之匣
盒,加以搭载并将基板输送至处理装置之机构。
系指将退火装置或真空蒸镀装置之加热对象物,
以不致蒸发之温度加以维持之时间。就退火而言,
指维持所希望时间,就真空蒸镀法而言,指预备
加热温升排气之时间。
旨在横型之热氧化装置,热扩散装置及热CVD
装置,将搭载晶元之晶舟,输入或输出制成反应
管之际,不至于接触管内壁,具有可抑制产生微
粒功能之搬运装置。
指具有汽涡轮机形之叶片,经由高速旋转之转子,
将与其叶片表面碰撞气体分子给与运动量,以输
送气体之运动量输送式真空泵。可在分子流领域
有动作。
指当装置在试运转中,分批处理晶圆时为要凑齐
片数,或为承载效应等对策所使用,指实际没有
形成IC图案的晶圆.
指将用来制作无源(被动)组件、有源(主动)
组件,或被制成集成电路为前提之半导体或绝缘
物细片。有时亦可称为(片状器件)。请参阅cf. JIS.
请参阅图E-1002.
指将工件之完成产品所需要之时间。如何将产品
提早完成,Q-TAT(QUICK TAT)
指作为涡轮式分子泵或低温泵(cryopump)(oil
free)指出加工,系瓦斯通路不会混入油分之不沾
油泵。通常可从大气压减压至10
-3
Pa
系指每次可见多数片晶圆加以处理之方式。
系指可在装置内暂时收纳遮光罩或晶圆等基板之
单元。通常可分为使用载运闸盒,或使用专用治
具者。基板之进出有先进先出(FIFO),有后进先
出(LIFO)之2种方式。
系指将装置设置在平面时,从正上方加以投影之
总设置面积。
用 语 说 明
D1024 Sender
发送机
D1025 Soak time
热炼时间
D1026 Soft landing
软性着陆
D1027 Turbo molecular pump
涡轮式分子泵
D1028 Dummy wafer
仿真晶元,虚设晶圆
D1029 Chip ?die
芯片/ 小芯片
D1030 Turn-around-time
一贯制程所需时间
D1031 Dry vacuum pump
干式真空泵
D1032 Batch processing
分批处理
D1033 Buffer
缓衡容器
D1034 Foot print
脚印
编号
精品文档
用语(英文/中文)
精品文档
D1035 Process induced particle counter
制程感应粒子计数器
系指具有严格之试料气体防漏机构,将试料流通
路内鼻子残留杂质彻底加以除去之光散射式粒子
计数器。系用来监视半导体组件制造原料瓦斯,
CVD或注入粒子装置等减压槽中之浮游粒子数。
是指将遮光罩或晶圆等基板背面,以诸如真空机
械人或磁浮等非用直接输送带之基板输送机构之
总称。以采用橡皮输送带或金属性弹性带之输送,
无法防止来自输送带材质之污染,因此今后均以
无带式输送主流。
是指将晶圆一片一片加以处理之方式。
是指关于布线工程、薄膜形成工程等,经由将各
个不同制程适当加以搭配在一起,且在一贯之气
氛下加以处理,为提升制程之总功能为目的,所
构成之多室真空装置。此一真空装置有以输送室
为中心,在其周围将制程室配制成放射状型。以
及以输送室为中央,而将制程室配置在两侧之线
形型等两种。
是指利用机械爪具或环形吸盘等,将晶圆外周部
加以机械式保持、安装之机构。
是指搭载处理后之遮光罩,晶圆等基板之收纳匣
盒,及将基板由处理装置取出之机构。
是指为要进行晶圆制程之处理控制,对制程装置
之制程次序,及控制参数(温度,压力,瓦斯之
种类及流量,时间等控制目标值)等相关装置个
别之处理程序。
指将加工对象(work)放置与所定位置或安装之
机构。
是不得将处理室暴露于大气中,可进行晶圆之装
入与取出为目的之真空室。在处理室之前后或任
一方配置一个阀,经由阀与真空排气系统动作之
搭配,可以经常保持处理室在真空状态。
是有关热处理,为提升产量(throughput)等目的,
将温度作快速上升或下降等操作或制程。
以往都将起当作另外制程进行之处理,却将其编
入其它制程内,诸如:in-situ cleaning,in-situ
doping,及in-situ monitoring 可分别当作就地清
洁,自然(环境)掺杂,及现场监视等使用。
是属于可收纳晶圆而在装置间搬运之容器,由可
支持晶圆之部位,与搬运时将容器本体加以把持
之部位,以及由此等支持体所组成,其晶圆收纳
部成为开放状态之晶圆搬运容器。
用 语 说 明
D1036 Beltless transfer system
无带式输送系统
D1037 Single wafer processing
单晶圆处理方式
D1038 Multi-chamber vacuum system
多式真空系统
D1039 Mechanical chuck
机械式夹头
D1040 Receiver
接受匣盒
D1041 Recipe
处理程序
D1042 Loader
装载机、装料机
D1043 load-lock chamber
加载互琐真空室
D1044 rapid thermal process
快速热处理
D1045 in-situ
就地,在现场,自然(环境)
D1046 Open cassette
开放式晶圆匣
编号
精品文档
用语(英文/中文)
精品文档
D1047 Kinematic coupling
运动举上之耦合
在载物台上配置有位于三角形顶点之三个凸状,
且具有3次元曲面之突出头,在各个突出头套上
设在被载物体之3个颠倒V字形之嵌合罩,是用
来进行位置决定之机构。被使用作300mm之晶
圆搬运机(wafer carrier)。具有较大之调准范围
与经由自动求心之较高位置决定精确度为其特
点。
关于半导体等生产启动,从初期阶段起同时将各
装置加以设置,边决定制程条件,边快速提高到
全能生产时能力之快速生产启动方法。
系指在制程中,晶圆所受到的总热量。是温度及
暴露于该温度全时间的函数。
是典型制程温度控制方式之一种。使用P为比例,
1为积分,D为微分等3种基本演算,将目标值
与现有值间的差值变换成控制量者。针对PID各
参数变更,可较为容易地预测其控制特性的变化。
为达成高度局部洁净化需要,且降低洁净室的
营运成本为目的,是用作保存及输送如晶圆等被
处理体密闭容器的总称。经由以下主要构成要素
的选择,可以考量有如下四种组合,容器内部可
保持晶圆的匣盒部分,与容器为一体构造,(即匣
盒部分为可拆开式或无法拆开式)。其开口部位在
前面或底面者。
当靠量抑制加工研发的投资结果,在半导体制造
装置内,以一定的条件或环境下,将多项加工连
续反复加以处理,在同一晶圆上重复加工,可以
提升合格率或生产效率的技术。列如可以列举以
in-situ将蚀刻或溅射等,施加一连串的处理,
且加以回收之多室装置等。
D1048 Swift start up system
快速启动系统
D1049 Thermal budget
热预算
D1050 PID temperature control
PID温度控制
D1051 Pod密闭夹式容器
D1052 Process integration
加工整合,整合处理
D.2
编号
薄膜形成用语
用语(英文/中文) 用 语 说 明
是指有关半导体制造工程,可形成绝缘膜,电极
布线膜,及半导体膜之装置总称。有关薄膜之形
成原理可大略分成真空蒸镀装置,溅镀
(sputtering)系统等PVD (physical Vapor
Deposition)系统,CVD(Chemical Vapor Deposition)
系统,及磊晶(epitaxial)生长系统。
D2001 Thin film deposition system
薄膜沉积系统
编号
精品文档
用语(英文/中文) 用 语 说 明
精品文档
D2002 Injector
注入器
nozzle
喷嘴
D2003 Wafer heating mechanism
晶圆加热机构
系指诸如CVD系统,磊晶生长系统等反映室内,
注入瓦斯之喷出部。也可称为喷嘴。
系指为加热晶圆所护套加热器,红外线灯加热器
等的总称。随着晶圆之大口径化,需要面内有均
匀加热性能,进年来在发热体与晶圆背面间,使
用He 或Ar等瓦斯作为热媒体,此一媒体加热已
成为重要技术。
是指为要层间绝缘膜或平坦化,所使用涂敷有
SOG(spin–on-glass)膜之敷层。此一敷层使用将
SiOx溶解于酒精俗称调味料之药液。敷层后进行
硬化烘焙(400-700℃)使溶剂挥发。因药液之粘
度很高,有使用喷嘴洗涤及帽套洗涤机构之必要。
是指有关组件之穿孔(through hole)等,其段差
部之纵横比(aspect ratio)较大时,上部段差部
角较下部底面之膜厚为大,形成如同雨檐突出部
分之膜。
是指位在薄膜形成装置之蒸发源与晶圆间,所设
置之遮断板。薄膜形成中为打开。
是指位在LSI等半导体组件薄膜表面上,有微细
段差部之膜覆盖状态。因有段差部之覆盖状态,
直接影响到布线之不正常断线,成为产品合格率,
品质下降之原因。
是指随着布线之多层化,可以将纵向构造之段差
凹凸情况,加以缓和之技术。就绝缘膜之平坦化
法而言,有镀膜(coating)法,偏溅射(bias
sputtering)法,平坦化热处理(reflow)法、背
面蚀刻(etch back)法,及剥离(lift off)法。就
金属膜之平坦化法而言,有偏溅射法、CVD选择
生长法等。对于CMP法所能获得跨于晶圆全面
之平坦化,特别称为全面平坦化。
当将晶圆加热时铝等金属膜构造将产生变化。此
时,将有压缩应力作用于布线材料膜,为缓和此
一应力过程,将在表面上产生突起之结晶粒,在
温度下降之过程为要缓和张力,而在结晶粒界所
产生之空隙。
是指为要形成保护膜、层间绝缘膜,所加以之涂
敷聚酸亚胺膜。因聚酸亚胺粘性很高
(1000-2000cp)使用挥发性较低溶剂NMP
(N-methy1 pydolidon)。涂敷后,为溶剂之挥发
而在400℃中进行硬化烘焙。
用 语 说 明
是指在组件图案之段差部形成薄膜之际,由于侧
D2004 Spin on glass coating
SOG涂敷
SOG涂布
D2005 Overhang
突出部分,悬垂物
D2006 Shutter
快门光匣
D2007 Step coverage
阶跃式覆盖率
D2008 Planarization
平坦化
D2009 Void
空隙,空洞
D2010 Polyimide coating
聚酸亚胺涂敷
编号
D2011
用语(英文/中文)
Mouse hole
精品文档
精品文档
老鼠洞 壁与平面间之膜质之不同,往后在蚀刻制程中由
部分系在高速蚀刻下进行,而产生蛀虫状之洞穴。
D2012 Reflow 是指随着LSI组件之积体化,为缓和较复杂之段
圆滑热处理,平坦化热处理技术 差纵向构造,经由高温热处理谋求平坦化为目的,
所使用之技术。将含有磷8-12%(重量百分比)
之PSG膜,以CVD法加以沉积,经由大约1000℃
之高温热处理,利用PSG之流动性将晶圆表面加
以平坦化。为降低玻璃之软化点,亦利用对PSG
膜掺入硼杂质之BPSG膜。注:PSG(phrase
structure grammer)文句构造文法。
D2013 Wafer cooling stage
晶圆冷却夹片台
是指将编排在半导体制造装置内之高温晶圆,加
以冷却之夹片台。亦称为冷却站(cooling station),
氧化、退火装置或抗蚀刻处理装置,亦有此一设
备。
是指使用与电容器的PAT*1、、、、BST*2、SBT*3
等强电介体薄膜。是由MOCVD法,溶液汽化
CVD法,溅射法,solgel法及涂敷法等所形成。
注:*1:pbxZr1 – xTiO3;
*2 : BaxSr1 – xTiO3;
*3 : SrBi2Ta2O9 .
是指在CVD装置,为要保护真空泵等,利用等
离子体去除所反应副生物的装置。
D2014 Ferroelectric thin film
铁电薄膜
强诱电体薄膜
D2015 Plasma trap
离子喷镀系统
2.1
编号
真空蒸镀装置用语
用语(英文/中文) 用 语 说 明
是指在低于10
-2
Pa压力的真空装置内放置蒸发
源,并在其周围放置晶圆,经由加热蒸发源使材
料(金属或某种化合物),在真空中将晶圆加以蒸
镀的装置,蒸发源原子(或分子) 由蒸发源汽化
直接在晶圆基板上沉积凝积。
此一装置可以分类成以W,Ta,,Mo等高熔点金属,
所制成加热器或BN等复合材料加以通电,使蒸
发材料直接加热蒸发的直接加热式装置,以及由
坩埚与发热体所构成的间接加热式装置等2种。
此一系统的真空蒸镀装置中,构造最简单具备有
能量上最稳定状态的特点。
D2101 Vacuum evaporation system
真空蒸镀系统
真空蒸着装置
D2102 Resistance heating evaporation
电阻加热真空蒸镀系统
抵抗加热真空蒸着装置
D2103
Electron beam
system
电子束蒸镀系统
evaporation 系指将电子束照射于蒸发材料使其加热,有利用
其蒸发击力来蒸镀之装置。就此一方法而言,坩
埚因置于水中冷却,坩埚材料中之杂质,混入蒸
镀膜之可能性较小, 此法亦使用于高融点物质,
半导体或氧化物之蒸镀。
用 语 说 明 编号 用语(英文/中文)
D2104
Induction heating evaporation 系指利用蒸发材料本身所感应之高频电流, 作为
精品文档
精品文档
system
感应加热蒸镀系统
高周波诱导加热真空蒸着装置
D2105
Ion plating system
离子喷镀系统
加热源之真空蒸镀装置。 此一方法仅对电感应材
料有效, 而不能适用于绝缘体。 此法可利用于
不受荷电粒子损伤之蒸镀装置。
系指将晶圆置于阴极侧, 产生辉光放电, 从蒸
发源将蒸发原子加以电离化或激励, 加速后撞击
在基板上, 且加以堆积之真空蒸镀装置。 经由
此法可以获得密接性很强之被膜。 具有可得膜质
很优良之化合物被膜等特点。
D2106
Ionized cluster beam evaporation 系指在高真空中, 将102 ~103个原子聚集成团
System 加以电离化, 且加速撞击堆积在晶圆上之装置。
成团离子线束蒸镀系统
以成团蒸发源作为蒸发源,且将蒸汽容器之蒸汽
出口做成小喷嘴,促使容器内外压力差很大以便
喷出。此时,蒸汽在断热膨胀之过程下形成一团
一团。成团之电离化,系利用热电子放射灯丝与
阳极,经由电子撞击来进行。
D2107 Deposition rate
蒸镀速率
系指每单位时间内,在晶圆上生成之膜厚。就真
空蒸镀法而言,称为蒸镀速率,就溅射法而言,
称为溅束镀速率,就CVD法而言,可称为沉积
速率。
系指有关真空蒸镀法中,将作为膜材料之蒸发材
料,加以蒸发之加热源。此一蒸发源以电阻加热
蒸发源,电子束蒸发源,感应加热蒸发源为代表。
系指经由蒸发源加热使之蒸发,所称为膜之物质。
系指有关蒸镀装置, 所使用晶圆固定夹具之一种.
针对蒸发源配置多数个(通常为3个) 圆顶状晶圆
固定夹具, 在蒸镀中, 因可进行各圆顶夹具之自
转与公转, 可得跨于广大面积厚度均一之膜, 同
时阶跃式覆盖范围具佳.。
系指内侧能保持多数晶圆之圆顶状晶圆固定器。
在其中心轴设置蒸发源,且经由将圆顶加以公转,
可同时对多数之晶圆附着钧一之膜。
系在成膜制程中,经由厚膜计(水晶振荡式, 原
子吸光式及光学式等)来监控膜及蒸镀速度, 使
能成为所定膜厚, 或保持一定之蒸镀速度等控
制。
系利用高密度之光子照射,切断蒸发材料表面之
化学结合, 使其蒸发而形成薄膜者。
D2108 Evaporation source
蒸发源
D2109 Evaporation material
蒸发材料
D2110 Rotary and revolutionary jig
自转公转夹具
D2111 Dome jig
圆顶夹具
Thickness control
膜厚控制
D2112
D2113
Laser ablation
镭射烧蚀
2.2
溅镀装置用语
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
在真空中引进放电用瓦斯,若对电极间施加电压,
将产生辉光放电。 此时等离子体(plasma)中之正
离子撞袭到阴极之靶表面,而将靶原子掏出来。
系指利用此一溅射现象,在晶圆上形成薄膜之成
膜装置。放电瓦斯使用氩气(Ar)。
系指具有由一对阴极与阳极所成之2极冷阴极辉
光放电管构造之溅镀装置。阴极相当于靶子,而
阳极兼作基板固定器之功能。产生辉光放电后,
等离子体中之氩正离子撞击到靶子表面,将靶原
子掏出来,而将设置在阳极之晶圆上,形成薄膜。
系指具有由一对阴极与阳极所成之2极冷阴极辉
光放电管构造,在电极间施加直流电压,使产生
辉光放电,在此系指利用位在阴极上靶子之溅射
现象,来进行形成薄膜之溅镀装置。靶子材料只
限于导电体。
指具有一对阴极与阳极所成之2极冷阴极辉光放
电管构造,电极间主要施加13.56MHz之高频电
压,使之产生辉光放电,而利用位在阴极靶子表
面溅射现象,来进行形成薄膜之溅镀装置。离子
产生效率交直流2极方式为优。除了金属、半导
体外,当然亦可使用于绝缘体,因而被广泛使用。
在溅射法中,是指施加于阴极之电场与成直交之
磁场搭配所构成装置之总称。电子受磁场之作用
开始作摆线运动(trochoidal motion),因要推进很
长之距离,而与瓦斯分子间之碰撞频度大增,克
维持高离子电流密度放电,因此可作到高速溅镀。
是指经由磁控管原理施加交叉之点磁场,使从阴
极产生之电子进行摆线运动,在靶子表面形成高
密度等离子体,可以较低电压来提高溅镀速度之
高功率效率溅镀装置。从与潘宁(Penning)冷阴
极放电同一原理,来进行产生离子潘宁磁控应用,
而称为磁控管溅射法。
D2201 Sputtering system
溅镀系统
D2202 Diode sputtering system
二极管溅镀系统
D2203 DC diode sputtering system
直流二极管溅镀系统
D2204 RF diode sptuttering system
高频二极管溅镀系统
D2205 Magnetron sputtering system
直接电磁场型溅镀系统
D2206 Magnetron sputtering system
磁控管溅镀系统
D2207 Planar magnetron sputtering 是指磁力线由平板状靶子之背面出来,再回到靶
system 子侧之构造,具有此一阴极构造之磁控管型溅镀
平面磁控管溅镀系统 装置。磁场在靶上成为环形(race track)状构造,
电子一旦进入该空间就被封闭在内无法跑出来。
D2208 Coaxial magnetron sputtering 是指阴极与阳极具有同轴圆筒状构造之磁控管型
system 溅镀装置。在中心圆筒状之靶子内部,收纳有多
同轴磁控管溅镀系统 数个圆筒状磁铁,经由在靶子表面产生平行之磁
场,可维持磁控管放电。亦有将阴极与阳极相反
配置之反向同轴磁控管溅射方式。
编号 用语(英文/中文) 用 语 说 明
是针对具有圆锥台状之靶子,在其背后配置磁通D2209 Sputter-gun sputtering system
精品文档
精品文档
溅射枪溅镀系统 路,而可在靶表面产生平行磁场之构造,是指具
有此一阴极构造之磁控管型溅镀装置。靶子形状
具有特征,是属于使用效率很优异之靶子。
D2210 Facing target sputtering (FTS) 是指有2个互相面对之平板阴极,与沿着其中心
system 轴之磁场,搭配而成磁控管型之溅镀装置。电子
相面对靶子溅镀系统 被封闭在相面对之阴极间,产生高密度之等离子
体。对磁性体之高速溅镀很有效。
D2211 Thermoionic assisted triode 是指利用热阴极放电之溅镀装置。因具有电子供
sputtering system 应灯丝,相面对阳极及阴极等3极,而称为热离
三极管热离子辅助溅镀系统 子辅助三极管溅射方式。因热离子产生机构独立,
具有将靶电压在广泛围内,单独加以控制之特点。
D2212 Thermoinic assisted tetrode 是在三极管热离子辅助溅镀装置中,在灯丝前侧
sputtering system 附加热电子控制用栅极,而成为四极管热离子辅
四极管热离子辅助溅镀系统 助溅镀装置。是为提升热离子之控制性,由三极
管热离子辅助溅镀装置,进一步加以发展出来者。
D2213 Ion beam sputtering system
离子束溅镀系统
D2214 Bias sputtering system
偏压溅镀系统
是指针对置于高真空气氛中之靶子,将从独立离
子源以高能加速引出来之离子加以撞击,可在
10
-2
Pa以下低瓦丝压力下,进行成膜之溅镀装置。
是指以负偏压施加于晶圆为特点之溅镀装置。离
子之一部将流入基板,虽然在成膜过程中晶圆面,
因受到离子之冲击将吸附在膜面之杂志瓦斯驱离
出来,除能进行纯水作用外,其它可当作对阶跃
式覆盖范围(step coverage)之改善,以及膜面平
坦化效应之改善等为目的,加以利用。
是指随着一种化学反应而产生之化合物薄膜(氧
化膜或氮化膜等),可附加在素材之溅镀装置。除
了氩气外,将活性瓦斯引入溅镀室,列如,对素材
如加以溅射金属,可形成金属化合物之薄膜。
是指将2种以上具有不同成分元素指靶,同时加
以溅镀,可独立将个别功率加以控制,能制作经
由此一组成所能控制之膜溅镀装置。可利用于凝
形成由2 种以上之元素合金或化合物薄膜。
D2215 Reactive sputtering system
反应性溅镀系统
D2216 Co-sputtering system
共同溅镀系统
D2217 Electron Coupling Resonance 是指施加微波与磁场,使之产生电子回旋加速器
(ECR) sputtering system 共振放电,籍以进行将等离子体(plasma)与靶
电子耦合谐振溅镀系统 电位,独立加以控制之溅镀装置。
D2218 Cathode/target electrode
阴极/靶电极
D2219 Collimate sputtering
准直溅镀
在溅镀装置中,是将靶子设置在阴极表面被加以
溅镀。此一型装置之阴极有时亦可称为靶电极
(target electrode)。
是指对从横尺寸比(aspect ratio)较大之接触孔
(contact hole)加以镀膜之际。为使至底部亦能
或充分之膜厚,在靶子与晶圆间插入格子状板,
具有强制地提高垂直成分机构之建设。
用 语 说 明
系指有关溅镀装置,每单位时间之成膜厚度。
编号 用语(英文/中文)
D2220 Sputtering rate
精品文档
精品文档
溅镀速率
D2221 Sputtering yield
溅射二次放射系数
系指针对每一个入射离子或中性粒子,从靶子表
面被掏出来之原子或分子数目之统计性比例。溅
射二次放射系数,依离子之种类,能量之大小,
离子入射角,靶子材质,靶子结晶构造及面方位
而变化。
系指在溅镀装置中,设置于阴极表面,被离子撞
击成膜之材料物质。
系指针对使用前之靶容积,对消耗容积之比例。
位在磁控管阴极上靶材料之消耗,系被夹在磁极
之特定领域(浸蚀领域)内进行。此一浸蚀领域
之消耗深度,可以确定靶子之寿命。
系指在溅镀装置中,将靶子固定在阴极时,所用
之靶固定板。利用例如磁控管溅镀装置这样大电
流密度放电之场合,为防止靶本身之温度上升,
将靶子连接固定在支撑板上,藉以充分冷却支撑
板本身。
系指在溅镀装置中,在晶圆成膜之前,靶子表面
污染层之去除,或为靶表面之安定为目的,所进
行之预先溅镀处理。通常将快门光闸关闭状态下
进行溅射。
系指溅射法等对覆盖之洞穴进行A1布线之际,
在加热状态下,将靶与基板间距离离远一点,且
可在低压下安定加以放电之溅射法。
系属于提升根本覆盖范围之一种方法,为仅将溅
射粒子之垂直成分能到达基板,将靶与基板间距
离离远一点,且可在低压下安定加以放电之溅射
法。
D2222 Target
靶子
D2223 Efficiency of target utilization
靶子利用效率
D2224 Backing plate
支撑板
D2225 Pre_sputtering
D2226 Force fill process
D2227 Long throw sputtering method
2.3
编号
CVD装置用语
用语(英文/中文) 用 语 说 明
D2301 Chemical vapor
system
化学汽相沉积系统
deposition 系指将可构成薄膜材料之元素,一种或数种之化
合物瓦斯、单体瓦斯供给晶圆,经由汽相或在晶
圆表面之化学反应,可形成所希望薄膜之装置。
若拟激励瓦斯,通常要使用热能或等离子体放电。
最近以光(雷射光或紫外线等)激励之CVD装
置亦渐接近实用化。
系指以热能作为激励CVD反应之装置总称。就
热之发生源而言,电阻加热方式与红外线灯加热
方式。因受反应室内瓦斯压力,又可分成大气压
CVD装置,与低压CVD装置。
用 语 说 明
CVD 系指反应室内压力,为大气压之CVD装置。其
D2302 Thermal CVD system
热激励CVD系统
热CVD装置
编号 用语(英文/中文)
pressure D2303 Atmospheric
精品文档
精品文档
system
大气压CVD系统
常压CVD装置
特点为沉积速度快,比较上其阶跃式覆盖范围较
佳。
D2304 Low pressure CVD system 系指将反应室保持在减压(低压)状态之CVD
低压CVD系统 装置。其特点为可进行晶圆表面之均一反应,比
减压CVD装置/低压CVD装置 较上其阶跃式覆盖范围较佳。为此已下过很多功
夫。
D2305 Vertical low pressure CVD 系指将反应管及加热器,配置成垂直之低压CVD
system 装置。与水平型比较设置面积较小,因负载锁定
垂直型低压CVD系统 (load locking)化容易,逐渐成为主流。
纵型减压CVD装置
D2306 Metal organic CVD system
有机金属CVD系统
有机金属CVD装置/MOCVD装
置
Organo-metallic CVD system
有机金属CVD系统
OMCVD装置
D2307 Plasma enhanced CVD system
等离子体增强CVD系统
系指在低压下,经反应性瓦斯之等离子体放电分
解,可形成薄膜之CVD装置之总称。与热激励
CVD法不同,具有可在较低温CVD反应之特点。
将等离子体之产生能量,若以频率为主加以分频
时,有高频等离子体,微波等离子体,ECR等离
子体等各种装置。
系指利用有机金属化合物之热分解反应,来制作
化合物半导体膜之CVD装置。与经由汽相磊生
成法,所生长化合物半导体单结晶之MOVPE装
置,虽有所分但装置构成上有很多类同点。
D2308 RF plasma enhanced CVD 系指在低压下,经由反应性瓦斯之高频辉光放电
system 分解,可形成薄膜之CVD装置。经由装置构造,
高频等离子体增强CVD系统 可分频为电容性耦合型及电感性耦合型。
D2309 Capacitive coupled plasma
enhanced CVD system
电容性耦等离子体增强CVD系
统
D2310 Multiple parallel plate electrode
plasma enhanced CVD system
多平行电极等离子体增强型
CVD系统
D2311 Diode parallel plate enhanced
CVD system
二极管平行板等离子增强型
CVD系统
用语(英文/中文)
cylindrical
系指对设置在石英反应管之外侧或内侧相面对电
极间,施加电压产生低压反应瓦斯之等离子体,
经由等离子体分解可在晶圆上,形成薄膜之
CVD装置。
系指对石英反应管内插入多数平行电极板,在此
电极上设置有多数成垂直之 晶圆,具有此一构造
之电容性耦合型等离子体CVD装置。在相面对
平行电极板间,施加高频功率产生低压反应瓦斯
之等离子体,而形成薄膜
系指反应室内有2片平行电极板相对,在内建有
加热器之一侧电极板上设置晶圆,在互相面对之
电极间施加高频电压,使之产生低压反应瓦斯之
等离子体,使晶圆上形成薄膜之电容性耦合型等
离子体CVD装置。
用 语 说 明 编号
D2312 Coaxial
精品文档
plasma 指对圆筒型外部电极内部,配置同轴状多面体之
精品文档
enhanced CVD system
同轴圆筒型等离子增强型CVD
系统
D2313 Inductive coupled plasma
enhanced CVD system
电感性耦等离子体增强CVD系
统
晶圆固定电极,此一电容性耦合等离子体增强型
CVD装置。在二电极间施加高频电压,使之产生
低压反应瓦斯之等离子体,而在晶圆上形成薄膜。
系指绕在石英反应管外侧之线圈施加高频电压,
使之产生低压反应瓦斯之等离子体,使设置在反
应管内之晶圆上,形成薄膜之等离子体CVD装
置。
D2314 Microwave plasma enhanced 系指具有由微波导波管,与产生等离子体瓦斯导
CVD system 入口,经由微波激励之等离子体放电室,所构成
微波等离子体增强型CVD系统 之等离子体CVD装置。在经由微波所产生等离
子流之下游,引进反应瓦斯,可在内建有加热器
(susceptor)内,于低温形成薄膜。 亦有将反应
瓦斯直接引进等离子体放电装置之方法。
D2315 After glow microwave plasma
enhanced CVD system
隔离型微波等离子体增强型
CVD系统
D2316 Electron Coupling Resonance
(ECR) plasma enhanced CVD
system
ECR等离子体增强型CVD系统
D2317 Photo assisted CVD system
光辅助型CVD系统
光CVD装置
D2318 Laser assisted CVD system
雷射辅助型CVD装置
系指将经由微波将等离子体产生室与成膜室加以
隔离,期间以输送通路加以连接之微波等离子体
增强型CVD装置。因晶圆没有暴露在等离子体
下,具有不受等离子体影响之低损害成膜之特点。
是指由微波导波管连接而在周围设有磁场产生机
构之等离子体室,与收纳晶圆之反应室,所构成之
CVD装置.经由2.45GHz之微波与875G之磁场,
利用离子源来产生高密度之等离子体,将反应性
瓦斯加以分解,于低温下在晶圆上形成薄膜..
是指经由光能将气体分子加以分解,于低温在晶
圆片上形成薄膜之CVD装置.因所使用光源,可分
类成雷射CVD装置与紫外线灯CVD装置.
是指经由雷射光能将气体分子加以分解,与低温
在晶圆片上形成薄膜之CVD装置.因所使用雷射
(由电子激励),与红外线雷射(由振动激励)等CVD
装置.
D2319 Ultraviolet lamp heating CVD 是指由紫外线灯光装置,与内建有晶圆片加热器
system 之反应室,所构成之CVD装置.紫外线光源要使用
紫外线灯加热型CVD系统 可产生200nm-300nm之紫外线,或200nm以下之
真空紫外线波长范围之灯泡.总之,反应分子之光
分解,是利用瓦斯分子之位能(potential energy)吸
收紫外线,将其提高到电子激励状态,然后加以分
解之原理.。
D2320 Liquid source delivery system
液体源输送系统
系指将Si或金属化合物等液体源,加以汽化并连
续输送一定量至反应室之装置。惯用之起泡方法,
系将输送量以汽化瓦斯之流量加以控制之方法
(直接法)与以液体之流量加以控制,然后加以汽
化之方法已被研发,依反应室之压力条件,或液
体源之蒸汽压,分开使用。
用 语 说 明
系指当将Si或GaAs等之半导体晶圆加以加热之
编号 用语(英文/中文)
D2321 Radio frequency workcoil
精品文档
精品文档
高频工作线圈 际,为要加热搭载此等被加热体之承受器,在其
近旁所配置之感应线圈。对感应线圈施加高频电
压,由于被加热体属于导体,因受电磁感应而产
生涡电流,而以涡电流所产生之热来加热被加热
体。
在CVD装置中,系利用内建在反应室中来自加
热器,或紫外线灯泡之放射热或高频加热,将晶
圆加以加热之方法。因反应室之内壁温度不致成
为高温,与热壁相对而称为冷壁。
系指在晶圆片上每单位时间之生长膜厚。使用于
CVD成膜方法之场合较多。
是指将附着在反映室内之反应残渣加以清除之方
法。就干蚀刻(dry etching)法而言,有利用瓦斯
之化学蚀刻(chemical etching)法,与等离子体
清除(plasma cleaning)法。
是指以属于液体源之TEOS*作为反应源,以O
3
作为氧化剂,在大气压下形成SiO
2
膜之CVD法。
具有优越之阶跃式覆盖范围,与高生产量
(through-out)为期特点。有时以B、P作为残杂剂
(dopant)。
* tertraetylorthosilicate : Si(OCH
2
CH
3
)
4
,冠其头一
字而称为TEOS。
是指在CVD装置或磊晶生长装置等热处理装置
中,反应部形状为管状者。是以高纯度石英管被
用作反应管材。
是指在CVD、磊晶生长装置等所用之成膜室。可
以收纳晶圆、晶圆保持架(wafer holder)及承授
器(susceptor).特别以高纯度石英制作之管状者,
称为反应管。反应室有时亦称为沉积室。
是指在CVD装置中,经由反应气体离子体之产
生,将附着于反应室内之膜,加以清除之方法。
是指对低压CVD之反应室输送TEOS作为反应
瓦斯,经由对电极间施加高频电压来产生等离子
体,而在晶圆片上形成SiO2膜之方法。有时以B、
P作为掺杂剂。
是指在蒸镀或沉积前,将晶圆片表面加以清除之
专用处理室。大都使用于多室腔型之装置。
是指在CVD装置中,利用由反应室之外侧加热
器,来进行加热反应器内晶圆之方法。因此反应
室内壁温度为高温,而称为热壁。
D2322 Cold wall
冷壁
D2323 Deposition rate
沉积速度
D2324 Chamber cleaning
反应室清除
D2325 TEOS-O
3
atmospheric pressure
CVD method
TEOS-O
3
大气压CVD法
TEOS-O
3
CVD法
D2326 Reaction tube反应管
D2327 Reaction chamber/reactor
反应室/反应器
Deposition chamber
沉积室,蒸镀室
D2328 Plasma cleaning
等离子体清除,电浆清除
D2329 Plasma TEOS CVD method
等离子体TEOS CVD 法
D2330 Pre-cleaning chamber
预先清除室
D2331 Hot wall
热壁
编号 用语(英文/中文) 用 语 说 明
是指利用金属化合物之热分解,来形成金属膜之D2332 Metal CVD method
精品文档
精品文档
金属CVD法 CVD法。为对应于细微图案之布线、填坑及平坦
化,可选择WCVD、覆盖(blanket)WCVD 已
被实用化。其它作为位障(barrier)层之形成或
布线材料,已经研发了好多种金属之成膜方法。
系指可防止在晶圆背面生成薄膜之构造及其方
法。在金属CVD法中,反应瓦斯会迂回到晶圆
背面,进行不完全成膜,此法大都为防止因剥离
有时会产生微粒时使用。
系以甲烷等碳素化合物为原料,籍以形成金钢钻
薄膜之CVD。可以使用等离子体CVD法,热灯
丝法,离子束溅射法等,此一金钢钻CVD可期
待应用到制作高速、高温动作之半导体组件。
系经由液体原料加以汽化,使之在晶圆表面形成
薄膜之CVD。最近用来形成BST、SBT等强电介
体薄膜。
D2333 Excluding backside deposition
防止背面沉积
D2335 Diamond CVD
金铜钻CVD
D2337 Vaporized solution source CVD
汽化液体源CVD
D2338 High density plasma enhanced 系为提升阶跃覆盖范围,与增大附着速度,将等
CVD 离子体密度增强之CVD。就等离子体产生源而
高密度等离子体增强型CVD 言,有ECR或螺旋波可被使用。
2.4
编号
磊晶生长装置用语
用语(英文/中文) 用 语 说 明
是指承继晶圆之结晶性,可磊晶生长成单晶层之
装置。受生长之气氛,可以大略分成分子束磊晶
生长装置,液相磊晶生长装置。从材料面来观察
时,可分类成与晶圆生长同一材料者,称为同质
磊晶(homoepitaxy),与晶圆生长层不同材料者,
称为异质磊晶(heteroepitaxy)。
D2401 Exitaxial growth system
磊晶生长系统
D2402 Molecular beam epitaxial growth 是指将凝要生长之材料,在10-8-10-9之超高真空
system 中,以分子束状迎面奔向相对之晶圆片上,磊晶
分子束磊晶生长系统 生长成单晶层之装置,具有可在低温制作杂质或
结晶缺陷少之薄膜等特点。通常在成膜制程现场
可附设,可以分析组成成分或观察结晶性之附属
功能。
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
D2403 Gas source molecular beam 是指可将有机金属CVD装置(MOCVD)与分子
epitaxial growth system 束磊晶装置(MBE)两者之优弱点,加以取长补
瓦斯源分子束磊晶生长系统 短之装置。因使用瓦斯源作为薄膜生产材料,除
Metal organic molecular beam
了有MBE 之优异结晶控制性,也具备有
MOCVD之可选择生长等特点。此一系统有时可
epitaxial growth system
有机金属分子束磊晶生长系统
称为有机金属分子束磊晶生长系统,或化学分子
束磊晶生长系统。
MOMBE 装置
Chemical beam epitaxial growth
system
化学分子束磊晶生长系统
CBE装置
D2404 Atomic layer epitaxial growth 是指使原子层或分子层,一层一层加以控制使其
system 生长之装置,就原子层之控制方法而言,可分类
原子层磊晶生长系统 为定时(timing)法与饱和吸附法,将化合物半
装置/ALE装置 导体组件制作成极微细构造,用来获得量子效果。
D2405 Vapor phase epitaxial growth 是指利用高温汽相中之化学反应,可在晶圆片上
system 生长硅、化合物半导体等单结晶之装置。此一装
气象磊晶生长系统 置可分类为大气压汽相磊晶生长法,有机金属汽
相磊晶生长法,及光汽相磊晶生长法等装置。
D2406 Atmospheric pressure vapor 是指汽相磊晶生长可在大气压下进行之装置。此
phase epitaxial growth system 一装置通常使用于硅装置,特别是双载子
大气压汽相磊晶生长系统 (bipolar)装置等制程上。
D2407 Reduced pressure vapor phase 是指汽相磊晶生长可在减压状态进行之装置。由
epitaxial growth system 来自晶圆之自动掺杂会减低,有可得峻峭之组成
减压汽相磊晶生长系统 分布等等之优点。亦可称为低压汽相磊晶生长系
low pressure vapor phase
统。
epitaxial growth system
低压汽相磊晶生长系统
D2410 Liquid phase epitaxial growth 是指将单结晶之子晶(seed),接触到含有生长材
system 料之过饱和溶液,经由使二者间形成温度坡度,
液相磊晶生长系统 而在晶圆表面生长磊晶层之装置。
D2412 Deposition chamber
沉积室
D2413 Growth rate
生长速率
是指在CVD装置,或磊晶生长装置,为形成薄
膜之部分装置。此一称沉积室可收纳有晶圆,晶
圆固定器,承受器(susceptor)及加热器等。
是指每单位时间在晶圆上之生长膜厚度。使用于
磊晶生长法之场合较多。
精品文档
精品文档
D.3
编号
氧化用语
用语(英文/中文) 用 语 说 明
是指可在晶圆片上形成氧化膜之装置。依温度,
周围空气,压力以及装置之形成,可分成大气压
热氧化、高压热氧化及等离子体阳极氧化等装置。
为氧化膜厚之调节活为防止膜中之金属污染为目
的,有时采取分压氧化,盐酸添加氧化,TCA(t ri
ch lo ro-ethane)添加氧化,DCE(di ch lo ro-
ethylene)添加氧化等方法。
是指经由将氧气或水分子,输送到反应室内被加
热之晶圆,使之在高温下经热氧化反应,而在晶
圆面上形成氧化膜之装置。
D3001 Oxidation system
氧化系统
D3101 Thermal oxidation furnace
热氧化炉
D3102 Atmospheric thermal oxidation 是指可在大气压附近形成热氧化膜之装置。氧化
furnace 之方法有干式(在干燥氧中氧化),湿式(以水蒸
大气压热氧化炉 汽氧化),以氢气来燃烧等氧化。就反应管及加热
器之配置方式而言,可分为横型大气压热氧化,
及纵型大气压热氧化等装置。
D3103 High pressure thermal oxidation 是指可在高压下进行氧化之装置。与通常之热氧
furnace 化比较,可谋求氧化温度之低温化及氧化时间之
高压热氧化炉 缩短化。
D3104 Plasma oxidation system
等离子体氧化系统
D3105 Rapid cooling system
急冷系统
D3106 Oxynitridation in N
2
O(NO)
酸窒化酸化
Oxynitridation in N2O(NO)
在N2O中之氧氮化
D3107 External torch unit
外界火炬装置
是指经由以化学较活性之氧气等离子体与晶圆间
之反应,可形成氧化膜之装置。可在较热氧化法
为低之温度形成氧化膜。
是指在氧化,扩散及CVD装置中,可将炉内温
度作急速冷却之电炉。若晶圆处理温度与晶圆取
出温度不相同时,可能影响产量之提升。
是指使用N2O或NO瓦斯,将晶圆加以氧氮化之
作法。经由本作法所得极薄氧氮化膜,因可由氮
气原子改善接口特性,较以往之热氧化法所得氧
化膜,可以提升电气特性。
是指使用热氧化装置来进行水蒸汽氧化时,在反
应炉外燃烧氩气与氧气来产生水蒸汽之装置。水
蒸气之量是以质量流控制器(mass flow
controller).对氩气及氧气作精密之调整控制。其
燃烧部亦可以称为高温装置。
是指在利用各种加热源来加热似料之装置中,为
避免加热源参差不齐之温度传入试料中,在加热
源与试料间插入,籍以使加热温度均匀化之容器。
通常都使用管状容器。
D3108 Linear tube
线性温度加热管,均热管
精品文档
精品文档
编号
D3112
用语(英文/中文)
Radial temperature uniformity
径向温度均一性
用 语 说 明
是指在热氧化、热扩散及CVD等装置中,位于
炉之任意横断面,其温度分布之均一性。径向量
度之均一性因与晶圆之面内温度有直接关联,例
如,对于CVD膜生长是一很重要之因素。
是指具有将温度分布控制在控制在规定之温度偏
差以内之领域长度。
是指对预先加热反应管之均热部部分,从插入晶
圆算起至获得插入晶圆前之均热状态所需时间。
是指位于晶圆筒形加热器反应管内部长方形方向
之温度分布,是表示炉内温度与距离间关系之图
案。
是之为将放置试料之晶舟,送进抑或退出之自动
搭载机。关于速度与停止位置之再现性,需要进
行告精度之控制。经由将推进棒(pusher)拔出或插
进反应管,来进行试料晶舟之插入或拔出。
指将晶圆插入反应管或从反应管拔出时所用之冶
金工具。通常是以高纯度之石英或加工SIC来制
作之。在此一晶舟之槽沟上可设置大约25-200
片左右之芯片,来进行反应处理。
是将多数之垂直型反应管排成一列,从自动化装
置之一端。将晶舟沿着反应管列输送,针对着设
置在各反应管内之晶舟处理机(boat handler),将
晶舟加以交接之装置。
是使用于晶舟输送装置之一种,将晶舟对上下(垂
直)方向输送,针对各反应管将晶舟加以交接之
装置。
是使用与晶舟输送之处理装置,是位在晶舟升降
机与晶舟输送机间,将晶舟作垂直交接之装置。
主要使用于垂直型反应炉。
是指将多数之垂直型反应管排成一列,从自动化
装置之一端,将晶圆匣盒沿着反应管输送,针对
设置于各反应管内晶圆自动移载装置,将晶圆
是指当晶圆送入热处理炉内后,依某一决定速度
将温度倾斜上升至处理温度之谓。
亦是每单位时间之温度上升。相反,对某一决定
速度将温度降下来者,称为冷却(cool down).
是使用热氧化装置来进行水蒸气氧化时,将氢气
与氧气送入加工处理管(process tube)内,加以燃
烧之水蒸气产生装置。
是指有助于氧化反应之原子,分子及离子等物质
之总称。
D3113
D3114
D3115
Flat zone length
热平坦区长度
Temperature recovery time
温度复原时间
Temperature profile
温度断面图
Boat loader
晶舟搭载机
D3116
D3118 Boat
晶舟
D3119 Boat transfer
晶舟输送机
D3120 Boat elevator
晶舟升降机
D3121 Boat handler
晶舟处理机
D3122 Cassette transfer
晶舟匣盒传送机
D3125 Ramp up
倾斜升温,每单位时间之温度上
升
D3127 Internal torch unit
内部火炬装置
D3129 Oxidation seed
氧化种子 酸化种
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是有关热处理装置,直接将高纯度瓦斯引进其内
部,藉以将晶圆加以处理之管状反应器之总称。
该管难以使用高纯度石英玻璃为主,就高温加工
处理用而言,大都使用碳化硅(SiC)
D3130 Process tube
加工处理管,管壮反应器
D.4
编号
掺杂(doping)用语
用语(英文/中文) 用 语 说 明
是指为将P型或N型杂质原子,添加于晶圆片上
之掺杂装置。有关杂质掺杂方法有热扩散(thermal
diffusion)法,雷射掺杂(laser doping)法,等离子体
掺杂(plasma doping)法及离子注入(ion
implantation)法。
D4001 Doping system
掺杂系统
4.1
编号
热扩散装置用语
用语(英文/中文) 用 语 说 明
是能经由控制温度与流量,可将p型或n型杂质
热扩散到晶圆片中之装置。由于反应管及加热器
之配置方法,可分为横卧式热扩散,与竖立式热
扩散等装置。
D4101 Thermal diffusion furnace
热扩散炉
热扩散装置
4.2
编号
雷射参杂装置用语
用语(英文/中文) 用 语 说 明
是指将紫外领域波长之雷射光照射于晶圆上,经
由光化学反应将掺杂物(dopant)瓦斯加以分解,
同时将照射部分局部加以溶解,可对此一部分进
行掺杂(doping)杂质之装置。与离子注入法有
所不同,缺陷之形成被加以抑制,因而为活性化
之退火(annealing)处理可弃之不用。就雷射而
言,主要使用具有短波长之准分子雷射(excimer
laser)。
D4201 Laser doping system
雷射掺杂系统
4.3
编号
离子直入装置用语
用语(英文/中文) 用 语 说 明
是指对具有运动能量之离子加以照射,为能将试
料之物性加以控制之装置。此一装置是由离子源,
提取电极系统,质量分析系统,注入室等所构成。
是指离子能量(1价离子)之最大值,在10Kev
以下之离子注入装置。
D4401 Ion implanter
离子注入机
D4402 Low energy ion implanter
低能量离子注入机
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指离子能量(1价离子)之最大值,超过10Kev
而在250Kev以下之离子注入装置。
是指线束电流量之最大值,未超过0.5mA之离子
注入装置。
是指线束电流量之最大值,在0.5mA ~ 5mA之离
子注入装置。
系指线速电流的最大值,在5Ma以上的离子植入
装置。
系指离子能量(1价离子)的最大值,超过250Kev
的离子植入装置。
系形成各种元素等离子体的部分。因放电种类的
不同,有直流型、高频波型以及微波型,目前最
适用者为弗里曼型,系属于直流型。此一离子源,
系利用由磁场中的灯丝所放出的热电子,来形成
离子。
系指将被加速离子植入半导体基片的方法。通常
利用硼(B),磷(P),砷(As)等离子,作为引进用
杂质。其控制性与再现性相当优异,被广泛用作
取代以往的热扩散法,作为半导体基片的杂质导
入法。
系指将无秩序状态的离子,加以控制而获得有方
向性且步调一致的离子流。中性的原子或分子,
当失去电子而被离子化状态下,各个离子系未具
有方向性,且有无秩序的举动。
系指在质量分析系统中,能将质量数很接近的2
种以上的离子,加以分离的能力限度。质量分解
力可以质谱上线速电流量的半值宽,与其离子质
量数之比来表示
系在同一植入条件下,对多数晶圆片进行植入离
子,经算出每一片晶圆的平均面电阻系数,根据
此一电阻系数求得各晶圆片的偏差值者。亦称为
再现性,同为重要的评价项目。
系将晶圆片上置于晶缘固定板(platen)上时,由基
准位置将主定向平面(orientation flat),以晶圆面
中心点为轴,加以旋转一定角度。此一角度称为
扭转角(twist angle)。
系指为能使晶圆可以分批处理,能将其数片~十
数片,加以装填的圆盘。为要确保离子注入的均
质性,与为避免因热损及晶圆,可以使其高速旋
转又可同时作业并进运动。
系指当进行离子注入之际,将晶圆加以倾斜者。
由晶圆中心竖立的法线达到晶圆中心的线速所成
角度,称为倾斜角。
D4403 Medium energy ion implanter
中能量离子注入机
D4404 Low current ion implanter
小电流离子注入机
D4405 Medium current ion implanter
中电流离子注入机
D4406 High current ion implanter
大电流离子植入机
D4407 High energy ion implanter
高能量离子植入机
D4410 Ion source
离子源
D4411 Ion implantation
离子植入,离子移植
D4412 Ion beam
离子束
D4413 Mass resolution
质量分解力
D4414 Wafer-to-wafer dose uniformity
晶圆间离子植入的均质型
D4415 Wafer twist
晶圆扭转
D4416 Wafer disk
晶圆圆盘
D4417 Wafer tilt
晶圆倾斜
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系指当晶圆被注入杂质的离子时,为表示晶圆内
究竟注入何种程度均质性的指针。就其评价方法
而言,通常在退火后,经由4探针法,在晶圆面
内进行数十点的面电阻系数分布测试,经由统计
处理求得偏差值。
D4418 Wafer dose uniformity
晶源离子注入均质性
D4419 Wave guide 系指在质量分析系统中,为时离子波束中通过,
离子波束引导管(腔),导波管 所设置的真空腔(vacuum chamber)。为防止有不必
要波束的碰撞削去其内壁,设置有内衬保护板
Analyzing chamber
(liner)者为数不多。有时亦称为分析管(腔)。
分析管(腔)
D4420 Energy contamination
能量污染,杂质能量
系指离子能量位在可作目标值以外的离子能量总
称。因离子与残留气体(中性粒子)的碰撞,经
电荷交换所产生离子,或分之离子的分解所产生
离子,变成非目标能量而植入晶圆者。
系指当将离子植入靶子的过程中,将与各种电极
或孔径相碰撞。籍电场或磁场将该时产生二次电
子,不至于从产生地点泄漏到外界的机构。为要
抑制产生二次电子所加的称为偏压。
系指对晶圆植入离子时,为防止在晶圆片上产生
正电荷(charge up),可对晶圆提供低能量电子,
籍以中和的机构。有时亦称为电子(electron show)
流枪,或电子障壁。
D4421 Electron suppressor
电子抑制器
Base
偏压
D4422 Electron flood gun
淹没式电子枪,电子流枪
D4424 Orientation flat aligner / flat 系指将晶圆搭载于晶圆固定板之际,将晶圆的定
orientor 向平面(orientation),或将缺口(notch)加以对准所
定向平面对准器 定位位置的机构。由此对准器可以决定离子植入
时的扭转角(twist angle),与晶圆倾斜(wafer tilt)
共同决定离子植入晶圆时的入射角度。
D4425 Rotational implant
旋转式(离子)植入
系指将晶圆依其经晶圆面中心点为轴,作为旋转
进行的离子植入。有时离子植入中晶圆并不旋转,
植入一定量后加以旋转一定角度,然后再继续植
入者,称为步进旋转植入法。
系指经由加速方式,设置在分析系统先后,可给
与离子能量的管子,系由绝缘物与电极所构成,
通常是属多段构造。对各电极施加以分割的加速
电压,由形成在电极间之电场来加速离子。
系指为能对经由提取电压所加速的离子,给予一
定离子能量,对加速管所施加的电压。离子能量
可加速电压与提取电压的总和,及离子价数来决
定。
D4428 Acceleration tube
加速管
D4429 Acceleration voltage
加速电压
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系指经由离子注入装置构成材料的污染。是由晶
圆保持夹以及晶圆附近的金属制品等,受到离子
波束的溅射,而引进晶圆内部的污染者。在同一
装置内一连串不同制程间,各个制程所使用瓦斯
或生成物,污染到其它制程环境者。随着组合设
备工具(cluster tool )的普及,此一用语的使用日益
增多。
系指在质量分析系统之前,将以20-40 Kev 之低
加速能量给予离子波束,而在质量分析系统之后,
加速至所需要能量的方式,后段加速方式可以小
型的质量分析磁铁,可实现较高能量的 离子注
入。
系指要产生之际,可搭配与离子源而被用作固试
料的蒸发源。试料在真空中被加热器加热至数百
度oC,变成蒸汽而被引进等离子形成室。主要从
安全性的观点,此一固体蒸发大都用作替代有毒
瓦斯。
系指关于晶圆中的注入量,经由SIMS,原子吸光
分析等之定量分析,可利用下述数式来计算。污
染量[%,ppm]=混进离子的注入量(atoms/cm
3
)
所盼离子的注入量( atoms/cm
3
)
就离子注入装置而言,有时也可用混进离子波束
电流,与所分离子波束电流的比值来表之。
D4439 Deionized water cooling
去离子水冷却
系指对离子注入装置的高电压端内,及终端站系
统的去离子水冷却,去离子水冷却因具有绝缘性
甚佳为由被用来替代氟氯烷(freon),而被普遍使
用。若考量耐电压或波量测错误有必要将去离子
水之比电阻作适当之管理。
系指以离子波束加以扫描,使其注入晶圆时之波
束电流值。以离子波束加以扫描时,离子波束因
受离子注入装置遮光罩之限制,仅被限制领域之
离子到达晶圆,成为较点状(spot ) 照射为少之电
流值。
系指从离子源被提取之离子波束,在质量分析系
之前,给予必要之加速能量,经质量分析后,对
靶子注入离子之方式。
系指以协助原子,分子之电离为目的,而对离子
源施加磁场之磁铁。大都被当作正交电磁场或不
均一磁场(镜面磁场)来使用。其磁场之强度以
离子源之种类而定。
D4431 Cross contamination
交互污染
D4433 Post acceleration system
后段加速系统
D4435 Solid vaporizer
固体蒸发源
D4436 Contamination level
污染量
D4440 Scanned beam current
扫描波束电流
D4442 Preacceleration system
前置加速系统
D4443 Ion source magnet
离子源磁铁
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
D4444 Tandem electrostatic accelerator 将置于大地电位之离子源,所产生的负离子,经
串接静电加速器 由静电场加速后面向正电位之高压部,又被设在
该部之电荷变换器换成正离子,然后再面向大地
电位,进一步被静电场加速,而获得所需要能量
离子加速方式之离子植入装置。系为形成高能离
子时使用。
D4445 Channeling
穿隧效应/沟道效应
若沿着结晶轴或结晶面,将离子加以照射时,大
多数离子不会与结晶中之原子核或电子碰撞,而
侵入到结晶内部。
此一过程称为穿隧效应(channeling)。离子沿着
结晶轴侵入者,称为轴穿隧效应,离子沿着结晶
面侵入者,称为面
穿隧效应。
系指注入离子中,晶圆片上之绝缘物会带电之情
况。为此组件在离子植入中,有时会劣化甚至被
破坏。为防止这一情形发生,大都设有淹没式电
子枪( electron flood gun).
系指对晶圆进行离子植入的处理室。当植入离子
时,为避免室内某瓦斯原子与离子加速,因碰撞
所产生中性粒子,被打进晶圆内而产生离子植入
量有维持高真空的必要。为达成高生产量(high
throughput ),亦准备真空预备室或2套离子植入
室的设备。有时亦可称为处理室或打靶室。
系指植入离子之际,对一片晶圆将倾斜角多次边
变换边进行离子植入者。再离子植入中倾斜角系
被固定,植入一定量后,再移至下一个倾斜角继
续进行注入。通常在植入中。晶圆系连续在旋转。
系指装置所能处理的晶圆尺寸。因受到终端站机
构等限制,可进行注入处理的晶圆大小,就受到
限制。通常,可处理晶圆的直径以被称呼之直径
表之。
系指植入试料或被植入每单位面积之离子数目。
系指可将波束扫描(静电扫描,磁铁扫描),与机
械式扫描加以搭配,可得离子植入很均匀之扫描
方式。例如X方向之扫描,系将晶圆盘高速加以
旋转,同时利用电场或磁场,将离子波束就Y方
向加以扫描。
基本上系与晶圆间之注入均质性(再现性)同一
意义。以批处理进行注入离子之场合,每一批搭
载有评价用晶圆,与晶圆间均质性之场合同样,
可以求得各批次间之偏差。
D4446 Charge up
充电,使绝缘物带电
D4447 Implant chamber
离子植入室
Process chamber
处理室
Target chamber
打靶室
D4448 Muliple tilt angle implantation
多倾斜角植入
D4449 Acceptable wafer size
适用晶圆尺寸
D4450 Dose
剂量
D4451 Hybrid scan
混合式扫描
D4452 Batch-to-batch dose uniformity
批次间之注入均质性
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系指将离子波束加以扫描植入时,对晶圆表面之
入射角,系属于相同之离子波束。此一离子波束
可分为两次元平行化加以扫描的方式,与一次元
平行化之混合方式。
系指在产生离子波束之状态下,表示装置安定性
之指针。当操作人员没有操作装置的状态下,在
一定时间内以波束电流之变动量表之。
系指构成离子波束,其离子本身所具有之能量。
特别关于靶极,系指离子波束所具有运动能之情
形较多。此时,离子系以波束能量被注入试料中。
系为要聚焦离子波束,具有透镜作用之部分装置
总称。此一波束聚焦系统,有静电电极透镜,静
电4重极透镜,磁场透镜,及磁场4重极透镜等
等。
系指将离子波束之量,以离子在单位时间搬运之
电荷量,亦即以电流来表示者。特别关于靶极,
系指照射于试料之离子波束量。由多价离子所构
成离子波束之场合,有以电流量本身来表示之场
合,与以其离子之价数除以电流量之商值来表示
的场合,分别以eA(electri campere)及pA(particle
ampere)表之。
关于植入多价离子时,为去除因离子波束与残余
瓦斯间的碰撞,而产生低能量成分,所增设适当
位障(potentail barrier )之机构。多价离子之植入,
系在有必要对装置注入最大加速电压以上之能量
时使用。
为要提取在离子源所产生的离子,是指在离子源
与提取电极的系统间,所施加的电压,经此一电
压,可决定从离子源所提取的离子能量。
是指与 电弧室缝隙相面对为要将离子源所产生
的离子,加以提取出来的电极。为要使离子波束
具有聚焦作用,通常是由2片电极所构成。
是指由连接到抑制电极及电流积分器的法拉第帽
极,及靶极等所构成,可以用来将入射靶极的离
子波束电流量,加以检测出来的装置。因二次放
射电子经电子抑制器,又会回到法拉第帽极,电
流量测试不致产生误差。
是指单片晶圆处理的 终端站,将被送到达的晶圆
加以保持住,且将晶圆设定到晶圆台,通常圆晶
圆固定台有冷媒循环,将晶圆加以冷却。此外,
固定晶圆的 固定台,在离子注入时,将成为法拉
第系统的一部分,而发挥其功能。
D4453 Parallel beam
平行波束
D4454 Beam stability
波束安定性
D4455 Beam energy
波束能量
Ion energy
离子能量
D4456 Beam focusing system
D4457 Beam current
波束电流
Ion current
离子能量
D4458 Beam filter
波束滤波器
D4459 extraction voltage
提取电压
D4460 Extraction electrodes
提取电极
D4461 Faraday system
法拉第系统
D4462 Platen
晶圆固定台
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指位于分析磁铁的焦点位置,是为挑选所离子
种类的 缝隙。缝隙的大小成为质量分析上,可决
定分解能力的重要因素。
是指从包含有各种离子波束中,仅可将必要的离
子中加以提取出来的磁铁。不同离子中在磁场内,
各个依从其运动量而描述不同的轨道。利用此一
特性,经由调整分析磁铁的磁场强度,仅可将所
要的目标离子挑选出来。
是指当以 扫描离子波束植入离子时,为要决定植
入领域,配置在法拉第系前的孔径。其孔径尺寸
可选取其扫描领域能覆盖包含晶圆周围数mm即
可。
是将离子波束加以固定,将装填多数片晶圆的圆
盘,对X,Y方向作机械式扫描,可获得注入很均
匀的扫描方式。就一般而言,将旋转圆盘作上下
或左右并进运动。
D4463 Resolving aperture
鉴别孔隙
D4464 Anylyzing magnet
分析磁铁
D4465 Mask
孔板,障板
D4466 Mechanical scan
机械式扫描
D4467 Radio frequency linac accelerator 系将离子源引出之离子,利用高频所加速方式之
高频线性加速器 离子注入装置。在大地电位之短小线束中,较低
之电压经由高频(RF),反复多数次将离子加以
Radio frequency quadrapole
加速,可以形成MeV位阶之高能离子。此一加
速器有将多数RF线性加速方式,与以单一四重
accelerator
极将离子反复加以加速与聚焦RFQ型加速方式。
高频四重极加速器
D4468 Plasma flood gun
淹没式等离子枪
系指具有与淹没式电子枪(electron flood gun)同
样之目的,能对产生在晶圆上之正电荷加以中和
之机构。系利用等离子体仅将低能量之电子,加
以引进晶圆上。与淹没式电子枪比较,较不容易
产生过剩之电子。
系指仅将作为目的能量之离子束,加以一定角度
偏向之磁铁。其原理数以分析磁铁同样,此一目
的系为去除能量污染(energy contamination)而
设。
D4469 Final engry magnet
终极能量磁铁
D.5
编号
退火处理(annealing)用语
用语(英文/中文) 用 语 说 明
系利用各种加热源,来进行试料之热处理。因为
加热源种类之不同,可以分类为激光退火处理、
热放射退火处理、电子波束退火处理、闪光灯退
火处理、及电炉退火处理等装置。
D5001 Anneal
退火处理
精品文档
精品文档
5.1
编号
退火处理装置用语
用语(英文/中文) 用 语 说 明
系以激光光照射晶圆表面,,或将形成在晶圆片上
之薄膜,在局部且短时间内,进行退火处理之装
置。因激光光照射方式之不同,可分为脉冲激光
退火处理、CW激光退火处理等装置。
系指使用红宝石,YAG,准分子激光(excimer
7
laser)等,将脉冲时间20∽50ms,功率密度10∽
8
10w/cm2之脉冲振荡激光光,照射到晶圆片上,
在1us以内之短时间内,可进行退火处理之装置。
此一装置所能退火处理之面积较大,杂质之活性
率有偏高之特点。
)laser 系指使用氩(Ar)、氪(Kr)CO
2
以及YAG激光
等,将功率密度10
6
W/CM
2
之连续振荡激光光,
照射于晶圆片上,在ms等级之短时间内进行退
火处理之装置。此一装置因不易产生杂质之再分
布,具有可形成较浅接合之特点。
系指经由高能电子波束之照射,将晶圆表面,或
形成在晶圆片上之薄膜,作局部且在短时间内,
可进行退火处理之装置。经由电子线之照射放射
方式,可分成脉冲电子波束退火处理,即CW电
子波束退火处理等装置。
系指使用百热线或百热灯,将所产生放射光照射
于晶圆片上,可在短时间内进行加热处理(Rapid
Thermal Processing;RTP)之装置。经由放射光照射
之处理不同方式,可分为卤素灯退火处理、弧光
灯退火处理、石墨加热器退火处理等。
系指使用路数等作为加热源之退火处理装置。此
一装置对加热退火处理(Rapid Thermal
Anneal;RTA)
系使用氙气闪光灯,将功率密度10
4
10
5
W/CM
2
之高能光照射于今片上,在数十um∽ms之短时
间内,可进行退火处理之装置。此一装置具有一
次可在大面积上退火处理,及杂质再分布较少之
特点。
系指使用弧光灯作加热源之退火处理装置。可再
ms极短时间内进行退火处理。
系指将石墨加以烧结,且利用其当作加热源,可
作为短时间内作退火处理之装置。
系利用百热线等加热气,作为所希望之温度气氛,
经由此一热传导加热晶圆片,籍以进行退火处理
之装置。由于电热炉构造之不同,可分为横卧与
竖立型电热炉退火装置。
∽
D5101 Laser annealer
镭射退火处理机
D5102 Pulse laser annealer
脉冲镭射退火处理机
D5103 CW(continuous wave
annealer
连续波镭射退火处理机
D5104 Electron beam annealer
电子波束退火处理机
D5105 Infrared annealer
红外线退火处理机
D5106 Halogen lamp annealer
卤素灯退火处理机
D5107 Flash lamp annealer
闪光灯退火处理机
D5108 Arc lamp annealer
弧光灯退火处理机
D5109 Graphite heater annealer
石墨加热器退火处理机
D5110 Furnace annealer
电热炉退火处理机
精品文档
精品文档
编号
D5111
用语(英文/中文)
Annealing chamber
退火处理室
Annealing temperature
退火处理温度
用 语 说 明
系指构成退火处理装置之要素中,为加热对象物
所设置空间或构成品。此一构成品有石英制及金
属制。
系将试料做作加热处理时,可是该物质构造或物
性安定化之处理温度。就晶圆制程而言,系指为
进行非晶质层之单结晶化,热压结(sintering),
接口之特性提升。恢复变形等之热处理温度。
D5112
D5113 Temperature up and down profile 系指将晶圆等作热处理时,可显示其升降温度,,
温度升降剖面图 与处理时间间关系之图案。为提升热处理之再现
性,为防止产生晶圆片之扭曲、变形、,有时对升
降温度图案进行程控。
Ramp rate
升降温速度/斜坡率
Sinter
热压法/烧结
系指在退火处理之加热装置中,将对象物加热之
所希望温度之际,其温度之上升与下降速度。
系指为要获得欧姆接触,所进行之热处理。多半
使用于A1电极之处理。可再100-500℃之非活性
瓦斯,及氢气之气氛中进行十~数十分钟之热处
理.
系指可将准分子镭射(excimer laser )等高斯分布
(Gaussian distribution)指波束剖面,跨于广泛围变
成均匀波束强度分布之光学装置。经由光学可变
焦距透镜(zoom lens),可获得任何长方形而均
一波束,因此,可搭配在镭射退火处理装置内。
系指为要获得所希望之温度或膜厚,将施加于加
热源之电流或电压,加以调整控制。经由控制电
路之构造,使用变压器或SCR来调节所供应之功
率.
系指经由退火处理,所产生晶圆面内或晶圆面间
之温度偏差。对于使用于热电偶来直接测试晶圆,
火车试离子注入后之退火处理均匀性,可经由测
试薄层电阻(sheet resistance)来求得之。
系指在退火处理室内,对所要处理之晶圆,连续
施加多循环之退火处理。此法可经由切换温度或
空气中之瓦斯种来实行,可用来控制扩散层或薄
膜之构成。
条件1
条件2
温度
时间
D5114
D5115
D5116 Laser homogenizer
镭射波束均匀化机
D5117 Lamp power control
照射灯功率控制
D5118 Annealing uniformity
退火处理之均匀性
D5119 Multi cycle annealing
多循环退火处理
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系指在退火处理中,将温度改变之处理方法。此
法可以将扩散层或金属膜中的扩散种类的分与结
合,导入所希望的状态。
温
度
时间
系指为要冷却退火后的晶圆到所指温度的载物
台。此法有使用石英的水冷却板或直接将空气吹
上去的方法。
就灯泡加热装置而言,虽然使用以棒状、球状等
形状的灯泡作为光源,在此是指为实现处理性能,
其所采用种种灯泡的排列方法。
系以辐射温度计测试晶圆之际,经由对测试值成
为误差的原因,而将测试之表面状态(薄膜或粗
糙镀等),就发射率的变动加以补偿校正的结构。
氧气浓度属于制程参数的一种,在此,是指测试
反应室内氧气浓度的构造。可用来调查残留在加
热空气中的氧气浓度,或在所期望氧气浓度进行
热处理。
D5120 Multi step annealing
多步骤退火处理
D5121 Cooling stage
冷却阶段载物台
D5122 Lamp arrangement
灯泡系列
D5123 Emissivity correction
发射率校正
D5124 Oxygen concentration monitor
氧气浓度监控器
D.6
编号
抗蚀刻处理用语
用语(英文/中文) 用 语 说 明
系指为要在晶圆处理制程中,将晶圆加以涂敷抗
蚀剂,相应或烘烤等装置之总称。这一装置可分
类为涂敷、显影及烘烤等装置。
D6001 Resist processing equipment
抗蚀剂处理设备
6.1
编号
涂敷装置用语
用语(英文/中文) 用 语 说 明
当晶圆表面端部与每一制程的位置确定部接触
时,因抗蚀剂的缺角而产生灰尘。为避免灰尘的
产生,将晶圆周边的抗蚀剂,须以溶剂加以除去
之。通常之涂敷抗蚀剂完成后随即加以进行。
系指可进行上下方向之传送、可调整输送高度的
单元。经由此一单元,可将涂敷显影装置与曝光
装置加以连接起来,使涂敷-曝光显影制程成为连
贯性。
D6103 Edge bead removal /E.B.R
边缘球状物去处
D6104 Elevator unit
升降机单元
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
D6105 Automatic damper / exhaust for 系指在抗蚀剂的涂敷与显影时依从制程程序
coater (process sequence),可自动将碗盘内的排气量加
自动风门/涂料气排气 以控制的机构。此一机构从单纯将排气口加以开
闭的机械方式,直到最近的数字式控制方式都有
Exhaust for developer
被使用。此一数字式控制也称为时序风门。
显影剂排放
Sequential damper
时序风门,时序排气器
D6106 Chemically amplified resist
化学放大型抗蚀剂
系指当作抗蚀剂中的感光剂,因含有酸发生剂,
经由曝光所产生的酸,对往后的热处理(PEB)
将感应触媒反应,可促进对显影液之不熔化(负
性型)或可溶性(正性型)的抗蚀剂。针对Deep
UV,准分子(excimer),X线及电子线曝光等,将
各光源亮度的不足,拟以抗蚀剂的高灵敏度化来
加以对应者。
D6107 Bowl temperature and humidity 系指当涂敷抗蚀剂之际,为提升晶圆间的均一性,
control 宜将属于膜厚变动因素的温度,确保在一定。
碗盘温度与湿度控制
D6108 Bowl rinse
碗盘冲洗
D6109 Comet appearance
彗星状模样
D6110
D6111
Suck back
吸回
Optical edge bead removal
晶圆边缘曝光球状物去除
Striation
辉纹
Rotation speed
自旋马达之回转数
系指当涂敷之际,为保持碗盘内的清洁,或使保
养简单化,喷出少量溶剂,可简单的加以洗涤者。
系指对晶圆涂敷的抗蚀剂后,在晶圆表面上显现
彗星状模样者。此以情形系因抗蚀剂中的气泡或
杂物所产生。
系指在抗蚀剂涂敷装置中,为防止抗蚀剂从喷嘴
口垂直滴落,将滴落及喷嘴的抗蚀剂加以吸取者。
系指将附着在晶圆边缘的抗蚀剂,加以去除的一
种方法,为能使显影时将边缘部位的抗蚀剂加以
去除,而对晶圆边缘加以曝光。与利用药液
系指在晶圆面上涂敷抗蚀剂时,因膜厚之不均匀,
在面向晶圆之周边产生放射状之不均一线状模
样。
关于自旋涂敷机(spin coater)及自旋显影机(spin
developer),是指将晶圆加以旋转之马达回转数,
通常以r.p.m 或rad/sec 表之。自旋涂敷时之抗蚀
剂膜厚系依存于回转数,因此,回转数之安定性,
对膜厚之均质性与再现性而言,是一重要因子。
系指在涂敷抗蚀剂中,从晶圆表面飞溅出去的剩
余抗蚀剂,再度溅射(splash)附着在晶圆面上者.或
者系显影剂喷出来时的微细滴液,干后再附着在
晶圆面上者.多半成为灯丝状的残渣,可以显微镜
观察它,或利用激光光的盘面检查加以观察.类同
的用语有碗盘内雾状.
D6112
D6115
D6117 Splash back
溅射回来
Mist in bowl
碗盘内雾状
精品文档
精品文档
编号
D6118
用语(英文/中文)
Centering
对准中心,定心
用 语 说 明
系指将晶圆的中心对准自旋转夹头上的位置.晶
圆中心的偏移,将影响到自旋涂敷机对抗蚀剂膜
厚均质性的主要之一.
对晶圆的抗蚀剂涂敷及显影,税经由旋转来进行.
此时对晶圆使用过量而滴下的药液自然成为废
液,在涂敷或显影部的直接下方加以回收的容器
者.因使用有机药品需要具备有局部排气功能.有
时废液不在设备下方回收,而采用排出到工厂设
备或个别回收容器(装置外)的自动排放方式
系指能喷出抗蚀剂或显影液的先端部分.有不锈
钢或特弗龙树脂。
系指在涂敷抗蚀剂时,将迂回而附着在晶圆背面
的抗蚀剂,以冲洗夜将抗蚀剂加以去除的方法。.
系指具有吸收或衰减紫外线(UV)光的特性,为
减少在曝光时产生驻波或晕光作用(halation),
在抗蚀剂膜的上面或下面位置,所涂敷之膜。有
时简置于抗蚀剂的上面者,称为TOP ARC ,而
置于抗蚀剂下面者,称为BOTTOM-ARC。
系指有关自旋式处理装置,在对晶圆涂敷显影液、
抗蚀剂等药液之前,事先将配管中的药液排出,
更换新装药液的动作。类似的用语有假配药及原
始配药。
D6120 Drain box
废液回收箱
D6121 Nozzle
喷嘴
D6123 Backside rinse
背面冲洗
Anti- reflection coating
D6124 防反射涂膜
D6125 Pre-dispense
预先配药
Dummy dispense
假配药
Prime dispense
原始配药
D6126 Micro bubble
微细气泡
D6127 Adhesion promoter coat / vapor
primer
黏着力促进膜涂敷/气相底层涂
料
D6128 Chemical cabinet
化学药剂储存室
系指混进在抗蚀剂或显影液的微细气泡。系由溶
入药液的加压流体,因压力变化而气化者。此一
微细气泡将成为涂敷偏差,或不良显影的原因。
系为提升抗蚀剂与晶圆的黏着力为目的,所施加
的处理。特别是正性型的抗蚀剂与氧化膜的场合,
其效果最为明显。通常将HMDS*(CH
3
)
3
SiNHSi(CH
3
)
3
变成蒸汽状来涂敷的。
系指为供应抗蚀剂涂敷、显影等装置所使用药液
(诸如,抗蚀剂、显影液、冲洗液等),将此等药
液集中储存在装置内或附近的储存室。因该室有
收藏有机药品,大多有安装系器排气阀。
系指在涂敷抗蚀剂之际,为提升均质性,将抗蚀
剂的温度加以控制者。起设定温度,依周围空气
温度与晶圆温度,以及抗蚀剂中的溶剂特性,采
D6129 Resist temperature control
抗蚀剂温度控制
精品文档
精品文档
用最妥当温度。
D6130 Resist thickness uniformity
抗蚀剂膜厚均质性
编号 用语(英文/中文) 用 语 说 明
系进行X ,Y , Z 方向的输送,可以调整输送位阶
之单元。经由涂敷显影装置及曝光装置连接,可
以将涂敷(coating),保管显影制程加以一贯化。
D6131 Interface unit
接口单元
系指表示涂敷在晶圆上的抗蚀剂膜厚的偏差。
6.2
编号
显影装置用语
用语(英文/中文) 用 语 说 明
在抗蚀剂处理装置中,系指对晶圆上涂敷的抗蚀
剂,作图案曝光后、为能将其显影的装置。依处
理的方式可分为自旋(spin)式,浸渍(dip)式,
喷涂(spray)式。
系指经由将晶圆加以旋转,来进行抗蚀剂的显影、
洗涤及干燥的装置。依晶圆的旋转状况可分为旋
转型与静止型,这一装置由药液供应系统,自旋
马达与碗盘的搭配所构成。有时因使用溶剂或苛
性水溶液的不同,形式也随之而异。
系指将抗蚀剂加以曝光后显影之际,将显影液以
泵加压,由药液供应管先端的喷嘴,可对晶圆表
面喷出雾状液的装置。经常有新药液供应到抗蚀
剂的表面,因而可缩短显影时间。
系指将抗蚀剂加以显影之际,为提升喷涂的均质
性,将显影液的温度加以控制者。其设定温度依
抗蚀剂与显影液的特性,作最妥当的选定。
系指显影结果的均质性。就评价方法而言,有线
宽均质性(CD)均质性。何谓CD均质性,通常
先决定临界尺寸(critical dimension ;CD),可
表示期线宽再显影后到底偏差多少?何谓膜减薄
之均质性,系表示不经由图案形成(patterning)
曝光而直接进行显影时,抗蚀剂膜厚之减少偏差。
虽然系指显影速度,因依显影液浓度,抗蚀剂的
灵敏度及曝光量而异,通常先以一定的抗蚀剂,
曝光量,显影液种及浓度,来决定基准显影速度,
作为显影液劣化的判断基准。
系指在显影后,残留在晶圆开口不的抗蚀剂浮渣。
此一浮渣可分为单独残留在晶圆上者,于附随而
残留在抗蚀剂底部者。
D6201 Developer
显影机
D6202 Spin developer
自旋显影机
D6204 Spray developer
喷涂显影机
D6205 Developer temperature control显
影液温度控制
D6206 Developing uniformity
显影均质性
C D uniformity
C D均质性
D6207 Developing rate
显影速率
D6208 Scum
浮渣
精品文档
精品文档
D6209 Multiple interference effect
多重干涉效应
Standing wave effect
驻波效应
Swing curve effect
摆动曲线效应
编号 用语(英文/中文) 用 语 说 明
系抗蚀剂显影的一种手法,利用显影液的表面张
力,在晶圆上盛满显影液,将晶圆处于状态下,
进行大约30~60的显影者。
系在喷涂显影或浸渍式显影之前,以水或稀薄的
显影液喷洒晶圆表面数秒,使抗蚀剂的表面状态
能适应显影液。
系利用干式蚀刻法,来形成抗蚀剂图案的方法。
与湿式显影方式比较,可以避免底子反射等问题。
此一干式显影有如同甲硅烷基化(silylizaton)
系指有关使用化学放大型抗蚀剂的制程中,为避
免受空气中的盐基成分(特别指气)导致解像度
不良为目的的空气洁净用过滤器。就其吸附方法
而言,有使用与酸间的综合反应,或离子交换反
应者。
D6210 Puddle developing
浸置式显影
D6211 Pre-wet
预先沾湿
系在曝光时,对抗蚀剂的入射光,与来自晶圆的
反射光,互相干涉而产生驻波。系指经由此一影
响,而伴生抗蚀剂膜厚的变动,导致线宽变动现
象。此一现象也称为驻波效应或摆动效应。
D6213 Dry developing
干式显影
D6214 Chemical filter
化学吸附过滤器
6.3
编号
烘烤装置用语
用语(英文/中文) 用 语 说 明
经由对晶圆加热,为能将晶圆表面之水分加以去
除,对晶圆表面所喷涂之抗蚀剂,在涂敷制程或显
影制程之前后,所作加热处理之装置.因加热方式
之不同, 分类成电阻加热、红外线加热及热风加
热等方式。
为要作为加热源,系以配置红外线加热器或红外
线灯,来进行加热之装置。
系指在经过表面处理之平坦金属板上,可将晶圆
加以加热之装置。通常在金属板下安装有加热
器,而周边设有排气孔。
系指在烘烤时烘烤温度之钧质性。其计算式请
参阅D6130。
是指在晶圆热处理后,用作冷却用之平坦金属
板。平板经由循环之冷却水来冷却之。最近已有
利用珀耳帖效应之电子冷却装置上市。
D6301 Baking unit oven
烘烤炉
D6302 IR oven
红外线烤炉
D6303 Hot plate oven
热平板烘烤炉
D6304 Baking temperature uniformity
烘烤温度均质性
D6305 Chill plate
急冷板
D6306 Post exposure pre development 系指为减轻晶圆经单一波长曝光后经由驻波效
bake 应,导致抗蚀剂图案之变形,在曝光后显影前所
曝光后显影前的烘烤处理 进行之烘烤处理。
D6307 Dehydration bake
精品文档
作为抗蚀剂处理之先前处理,系为加强抗蚀剂与
精品文档
脱水烘烤 晶圆之黏着性,将水分加以去除之烘烤处理。
在晶圆上涂敷抗蚀剂后,为蒸发掉喷涂膜中之残
留溶剂,及强化喷涂膜与晶圆之粘着性,所实施
之热处理。此一处理因在曝光后进行,宜在聚合
体不致重合下,或添加物不致热分解之温度下进
行。有时亦称为软性烘烤处+理。
用 语 说 明
有关晶圆之热处理,非将晶圆吸附在平板上,而
系将晶圆与平板间经由衬垫,隔离0.1~1.5mm之
间隙,靠放射热来加热之方式.
经由显影形成抗蚀剂图案后,为要蒸发掉残留在
抗蚀剂膜中或晶圆表面的显影液,冲洗液,或强
化抗蚀剂之硬化与晶圆间之黏着性,所进行的热
处理。处理温度,时间亦设定在抗蚀剂图案的形
状不致软化变形的范围。有时亦可称为硬性烘烤
处理。
系为要将显影后之抗蚀剂图案形状,能维持到更
高温度的装置。在显影后经由加热,紫外线照射
或两者并用的安定化处理。
系在晶元上形成抗蚀剂图案时,其图案之断面形
状对往后所加的热,可否维持至初期状态之何种
程度,所能展示之程度。总之,所谓好耐热性,
高耐热性,是指可保持图案形状至更高温度。
D6308 Pre-bake
预先烘烤处理
Soft –bake
软性烘烤处理
编号 用语(英文/中文)
D6309 Proximity bake
临近烘烤处理
D6310 Post-back/after-back
事后烘烤处理
Hard-back
硬性烘烤处理
Hard-back
硬性烘烤处理
D6311 Resist curing system
抗蚀剂熟化系统
D6312 Resist thermal stability
抗蚀剂热稳定性
6.4
编号
蚀刻剂剥离装置用语
用语(英文/中文) 用 语 说 明
系将成为不要之抗蚀剂膜,从晶元上加以去除的
装置。
系将完成蚀刻(etching)的晶元片,浸在装有抗
蚀剂剥离液的槽内,是晶元片上的抗蚀剂,加以
剥离去除的装置。
系指关于细微加工制程中,完成蚀刻后,将晶元
上不再需要的抗蚀剂,加以剥离去除之溶液。此
一溶液大致上可分为,将抗蚀剂氧化后加以去除
之无机系剥离液。
系可将晶元片上之抗蚀剂,在气相中加以灰化去
除的装置。
系将高浓度的臭氧,引进灰化处理,使臭氧与抗
蚀剂引起化学反应,籍以 进行灰化的装置。
使产生反应性瓦斯的等离子体,利用该等离子体
将抗蚀剂加以去除的装置。通常,此法系使用氧
气等离子气体。
D6401 Resist stripping system
抗蚀剂剥离系统
D6402 Wet type resist stripping system
湿式抗蚀剂剥离系统
D6403 Resist stripper
抗蚀剂剥离液
D6404 Resist ashing system
抗蚀剂灰化系统
D6405 Resist ozone asher
抗蚀剂臭氧灰化机
D6406 Resist plasma ashing system
等离子体抗蚀剂灰化系统
D6407 Down flow asher /down stream 系将晶元处理室与瓦斯激励室(等离子体放电室)
精品文档
精品文档
asher
下游灰化机
D6408 Barrel asher
圆筒型灰化机
加以分开,仅对除去荷电粒子之反应种 (radical),
进行灰化之装置。
系指批次(batch )处理型灰化装置。将反应瓦
斯引进圆筒型之石英室内,且经由施加高频功率,
来进行灰化之装置。其电极形状有面对型与同轴
型。
用 语 说 明
系将反应性瓦斯引进灰化室中,以紫外线等光照
射到瓦斯或晶元片上,边促进反应性瓦斯与抗蚀
剂间之化学反应,边进行灰化之装置。
编号 用语(英文/中文)
D6409 Photo excited ashering system
光激励灰化系统
D.7
编号
曝光用语
用语(英文/中文) 用 语 说 明
系指将涂敷在晶元上之抗蚀剂,形成电路图案之
过程。通常针对上一制程说星辰所形成的电路图
案加以对准位置,形成次一制程的电路图案本过
程通常都在柔和的黄光气氛环境下进行,因此俗
称为黄光制程。
D7001 Exposure
曝光
7.1
编号
曝光装置用语
用语(英文/中文) 用 语 说 明
系从对光抗蚀剂有灵敏度的可视光挑选紫外线,
来进行对准曝光之装置,就光源而言,系使用超
压水银灯(g线:436nm,h线:405nm,I:365nm)
或准分子雷射
(excimer
laser )(XeCL:308nm,KrF:248nm,ArF:193nm)通常
波长愈短,解像力愈高。
系指可以1台装置选择性地,将遮光罩与晶元加
以密接或近接对准之曝光装置。有时为区别对可
密接曝光之装
置,称为近接对准曝光器。
系为要将扫描在标线片(riticle)之图案,对准投
影与晶元且使其曝光,所采用之光学投影系统之
曝光装置。
系经由光学投影系统,将遮光罩图案对准投影到
晶元,与光学投影系统相对,将遮光罩或晶元加
以同步扫描,使遮光罩图案全部曝光在晶元的曝
光装置。
系指光学投影系统主要以镜面所构成之对准曝光
装置。
系扫描式镜面投影对准曝光装置之统称。
D7101 Optical
光对准曝光器
D7102 Contact-proximity aligner
密接-近接对准曝光器
D7103 Projection aligner
投影对准曝光器
D7104 Scanning projection aligner
扫描型投影对准曝光器
D7105 Mirror projection aligner
镜面投影对曝光器
D7106 Projection aligner
精品文档
精品文档
投影对准曝光器
D7107 Stepping projection aligner
布进投影对准曝光器
D7108 Stepper
步进机
编号 用语(英文/中文) 用 语 说 明
系指光学投影系统由折射系统所构成曝光装置。
对光学投影系统采用光学缩小系统者,称为透镜
对准缩小投影曝光器。而光学投影系统采用等倍
之光学投影系统者,称为透镜对准等倍投影曝光
器。
系指光学投影系统,由反射及折射系统构成之曝
光装置。此一装置有使用等倍之光学投系统只镜
面透镜等倍投影对准曝光器。与使用光学缩小投
影系统的镜面透镜缩小投影对准曝光器。
系与扫描式对准投影曝光器同样之同步扫描方
式,对各个镜头进行曝光,且以布进反复在同一
极板上,进行多数镜头曝光之投影对准曝光器。
指采用以365nm之光(统称I线)作为曝光光源
之布进式投影对准曝光器。就对应用语而言,请
参阅D7148
系利用使准分子机雷射当作曝光光源之布进式投
影对准曝光器。
系使用SR(synchrotron radiation )放射线来曝光之
对准曝光器。
系指使用软性X 线来曝光之对准曝光器。
系指以曝光一次来处理一片晶圆之X 线对准曝
光器。
系指反复将晶圆片加以布进曝光之 X 线对准曝
光器。
系指以电子波束来曝光之曝光器。此一波束之扫
描方式可分为光栅扫描(raster scan )式电子波束
曝光器,与向量扫描 ( vector scan ) 式电子波束
曝光器,对晶圆直接以电子波束加以描画之装置,
有时称为电子波束直接描画器
系指对半导体基板上既有图案,与下一个制程之
设计图案,对准于最适当相对位置关系操作。
系指晶圆上数处对准标记位误差之平均值,与正
规位置之误差。
系指可同时对晶圆上对准标记,与标线片(reticle )
上对准标记之相距两点加以计测,且可观察晶圆
与标线片XY方向之误差,与旋转误差之双眼显
D7109 Lens projection aligner
透镜投影对准曝光器
系指针遮光罩(制版片图案)之投影像反复将晶
圆加以布进曝光之投影对准曝光器。
系布进式投影对准曝光装置之统称。
D7110 Mirror lens projection aligner
镜面透镜投影对曝光器
D7111 Step and scan projection aligner
布进扫描投影对准曝光器
I_line stepper
I线布进机
Excimer laser stepper
准分子雷射步进机
Synchrotron radiation aligner
同步加速器放射线对准曝光器
X-ray aligner
X线对准曝光器
X-ray full wafer aligner
X线整晶圆对准曝光器
Stepping X-ray aligner
布进式X 线对准曝光器
Electron beam exposure system
电子波束曝光系统
D7112
D7113
D7114
D7115
D7116
D7117
D7118
D7121 Alignment
对准
D7122 Alignment offset
对准偏移
D7123 Alignment scope
对准用双眼显微镜
精品文档
精品文档
微镜。
D7124 Alignment accuracy
位置对准精确度
编号 用语(英文/中文) 用 语 说 明
系指形成在遮光罩、标线片 (reticle )或晶圆等上,
作为对准用的标记。标记的形成依标记的检测方
法而议,也可成为对准标的( alignment target ).
系指使用离子束光源之曝光方法。此一方法有使
用宽广的波束与遮光罩包括在内的离子束微影
术,与使用聚焦;离子的直接描述法。与电子线
比较质量较大,可将储蓄能量密度取大一点的优
点。
系指将晶圆加以微动调整来对准,或对固定在装
置的基准,与晶圆位置的调整。
系指对附着在晶圆表面上的外物大小及形状等检
查。
系将晶圆移动至曝光位置的位置决定机构。此一
机构包括,可进行XY平面内的移动与位置决定
的XY 载物台,与Z方向的位置决定(聚焦),Z
轴周围的旋转位置决定(晶圆 θ角 )以及包括
可进行决定X轴与Y轴周围的旋转位置(倾斜)
的微动载物台等2 个载物台的机构。
系指对曝光时能的尖锐影像的平面,称为结像面,
微要将此一投影透镜的结像面,与晶圆上加以平
行之功能。兹将其一个范围列展示与图D_7130。
系指对镜面(图案 形成前)晶圆表面伤痕的大小,
形状,或表面介质等之检查。
系指在曝光时因晶圆之旋转,所产生对准旋转方
向之误差。
系指将光罩标线片图案,对晶圆上加以曝光描画
后,有关显影所得抗蚀剂图案断面形状之侧面倾
斜角。此一倾斜角,亦可称为过肩角,或锥度角。
D7125 Alignment mark
对准标记
D7126 Ion beam lithography
离子束微影术
系指针对基板上既有图案,对准于下一个制程设
计图案之相对位置精确度,特别指对准标记
(alignment mark) 间的位置精确度。
D7127 Wafer alignment
晶圆对准
D7128 Inspection of dust particle on
晶圆表面灰尘检查
D7129 Wafer stage
晶圆载物台
D7130 Wafer titling
晶圆倾斜转动
Wafer leveling
晶圆调平
D7131 Wafer surface inspection
晶圆表面检查
D7132 Wafer rotation error
晶圆对准旋转误差
D7133 Wall angle
墙壁角
Shoulder angle
过肩角
Taper angle
锥度角
D7135 Numerical
数值孔径
系指与光学系之明亮度或解像能相关量之一种。
位于折射率n 之媒质光轴上之物点,若入射眼
睛之半径等与估计角a时,即数值孔径NA为n sin
a。
精品文档
精品文档
D7136 Off-axis alignment
轴外对准
D7137 Offset control
偏置控制
编号 用语(英文/中文) 用 语 说 明
系指与曝光位置在同一位置所进行的对准.生产
量(through-put)较轴外对准为低.此一对准方法,可
用于消除轴外对准误差.
系指在基板上行成线宽,间隔相同之线状抗蚀剂
图案时,可付之实用之最小线宽,可以下记数表
之 .
k
1
λ
分辨率(R) = 在此, k
1
系数,λ:
波长
NA
NA: 数值孔径。通常k
1
虽以0.5作为分辨率界
限来处理,在生产线即使用k
1
,而研究层级即使用
k
1
=0.6
系指在基板上形成 图案 ,舆下一制程进一步形
成图案时之相对位置精确度。就完成图案形成制
程的基板而言,图案时之相对位置精确度,与重
叠精确度对应,称为全部重叠精确度(total overlay
accuracy).类似的用语有重合精密度。
系指一次曝光之最大有效曝光范围。主要使用于
不京师于步进式投影曝光器 。
系在步进式投影对准曝光器中,根据对由位置信
息之推断计算,将晶圆载物台(wafer stage)移
至曝光位置之曝光位置对准方式。
系指进行包括晶圆全部在内的晶圆倾斜转动。主
要作为清除晶圆全部之锥度成分为目的。类似的
用语有全晶圆调平。
系指可维持一定聚像性能的聚焦范围者,亦可简
称为DOF,若将聚焦深度以数式可表示如下。
K
2
λ
聚焦深度(Z)=
N A
2
在此, K
2
:
系数,λ:波长 NA: 数值孔径。
系指在画面尺寸内放射照度之不均一性.
系指将曝光光束投于遮光罩(标线片)之装置.
D7138 On-axis alignment
轴上对准
D7139 Resolution
分辨率,析像清晰度
系对与曝光位置不同之位置,配置对准光学系统,
以便进行对准X方向,Y方向,θ方向等位置之
方法.此一方法其生产量(through-put)较高。
系专指对准,聚焦等之偏置调整。
D7140 Overlay accuracy
重叠精确度
Registration accuracy
重合精确度
D7141 Image field
影像图场
D7142 Global alignment
全晶圆对准
D7143 Global tilting
全晶圆倾斜转动
Global leveling
全晶圆调平
D7144 Depth of focus
聚焦深度
D7145 Illumination uniformity error
照度均一误差
D7146 Illumination system
照明系统
精品文档
精品文档
D7147 Shot
每次之曝光照射
D7149 Step pitch
步进节距
D7150 Line width accuracy
线宽精确度.
编号 用语(英文/中文)
系指每次之曝光照射.此外,亦指该次的曝光所照
射到之领域.
系指步进式投影对准曝光器,每一次曝光移动量.
系指将线图案(line pattern)曝光且描画在晶圆上
后,显影所得抗蚀剂像之线宽尺寸精确度.
用 语 说 明
D7151 Illumination intensity at image 系有关曝光装置之曝光位置照明强度.
plane
影像面照明强度..
D7152 Die-by-die alignment
芯片间对准
D7153 Die-by-die tilting
芯片间倾斜转动
Die-by-die leveling
芯片间调平
D7154 Multilayer mirror optics
多层镜面光学
系指在步进式投影对准曝光器中,对每一芯片按
步所进行之调整.
是指在步进式投影对准暴光器中,对每一芯片按
步所进行之晶圆倾斜转动。主要以清除晶圆之局
部难度成分为目的。类似之用语有芯片间调平。
是将二种物质互相妥予对准,所堆积之多镜面所
成之光学系统。在X 线领域可得较高反射率,可
使用于缩小投影术。在波长13nm,经由钼
(Mo:molybdenum)与Si之多层镜面系统,可以
形成50nm 之L&S图案。
是经由涂敷,形成多层之多种抗蚀济,将抗蚀剂
表面加以平坦化,籍以减少来自抗蚀剂层内部或
基板表面之光、电之线之反射,或散射效应,以
便提升分辨率之方法。
是指在步进式投影对准暴光器中,对每一芯片按
步所进行之晶圆倾斜转动。主要以清除晶圆之局
部难度成分为目的。类似之用语有芯片间调平。
是将二种物质互相妥予对准,所堆积之多镜面所
成之光学系统。在X 线领域可得较高反射率,可
使用于缩小投影术。在波长13nm,经由钼
(Mo:molybdenum)与Si之多层镜面系统,可以
形成50nm 之L&S图案。
是经由涂敷,形成多层之多种抗蚀济,将抗蚀剂
表面加以平坦化,籍以减少来自抗蚀剂层内部或
基板表面之光、电之线之反射,或散射效应,以
便提升分辨率之方法。
是介由标线片(reticle)遮光罩,对晶圆上之对准
标记,同时进行量测之对准。
是介由投影光学系,对晶圆上之对准标记加以量
测之对准。有能将投影光学系之误差加以去处之
优点。
是介由投影光学系,所进行之自动聚焦。有能将
D7155 Multiplayer resist method
多层抗蚀剂法
D7153 Die-by-die tilting
芯片间倾斜转动
Die-by-die leveling
芯片间调平
D7154 Multilayer mirror optics
多层镜面光学
D7155 Multiplayer resist method
多层抗蚀剂法
D7158 Through-the-reticle alignment
经由标线片对准
D7159 Through-the-lens alignment
经由透镜对准
D7160 Through-the-lens auto focusing
精品文档
精品文档
经由透镜自动焦距
D7161 Projection optical system
投影光学系统
D7162 Total overlay accuracy
总重叠精确度
编号 用语(英文/中文)
投影光学系结像位置之变化,加以去除之优点。
是指为要将标线片或遮光罩上之圆案,以等倍或
加以缩小,投影在晶圆上之光学系统。
是指完成所有晶圆之制程处理后,最后在晶圆上
所形成全部图案间之重叠总精确度。
用 语 说 明
是指将毫米(nm)领域之线宽图案,加以描画之微
影术
是使用KrF之准分子雷射(excimer laser)所代表间
歇发光雷射光源之曝光法。为要与使用高压水银
灯之i线,g线等连续发光光源所使用曝光方式,
有所区别之用语。
D7163 Nanometric lithography
毫微米微影术
D7164 Pulse laser exposure method
脉冲雷射曝光法
D7165 Optical detector of particle on 是可将晶圆上微粒数与分布加以测试之仪器。从
surfaces 晶圆上方以雷射光加以照射,由另一角度检测来
表面微粒光学探测器 自晶圆上微粒之散射光,把它变成电气信号,同
表面付着离子测定器 时可显示微粒位置之信息。有时也可称为表面缺
陷扫描仪。
Surface scanner
表面缺陷扫描仪
D7168 Platen
标准片保持台
D7169 Pre-alignment
预先调准
D7171 Base line
基线
D7172 Masking blade
遮光板,掩蔽片
Reticle blind
标线片遮掩
D7173 mix-and-match/M&M
混合与匹配、M&M
D7174 Line and space/L&S
线与间隙、L&S
是为了交接将输送到之标线片(reticle)加以保持,
然后将该标线片设定到光学系所指定位置之保持
工具。
是在对准之前,将调准标记移进到对准捕捉范围
内之操作。
是经由轴外调准机(off-axis alignment scope)所
规定之对准基线,亦是该基线对准位置与暴光位
置之距离。
是指针对覆盖标线片图案之暴光范围外之遮光
板。有时亦可称为标线片遮廉。
是指针对一个半导体装置之多数暴光制程,随着
制程分开使用不同种类之暴光装置。
有宽度之直线状图案称为线,线与线并列时,线
与线之间隔称为间隙(space),将线与线间隙等宽
状态投影到晶圆上之像,称为线与间隙。通常,
将此一图案用作显示解像性能之标准。
使用二对对准标记来对准时,因遮光罩与晶圆倍
率之差,当对准其中一对时,对另一对所产生之
对准误差,或该对准之误差。
在平板印刷中作为石版术为语源,在半导体领域
是指对基板上形成抗蚀剂图案之技术。
是指将标线片加以微调整之对准,或对准与固定
在暴光器基准之标线片位置调准。
D7175 Run out
产生误差
D7176 Lithography
微影术,石版应刷术
D7177 Reticle alignment
标线片对准
精品文档
精品文档
D7178 Reticle stage
标线片载物台
Mask stage
遮光罩载物台
编号 用语(英文/中文) 用 语 说 明
是指针对晶圆或光学系,为要将标线片或遮光罩
维持在被指定位置之固定器。
D7179 Reticle holder
标线片固定器
Mask holder
遮光罩固定器
D7180 Reticle loader
标线片搭载器
Mask loader/mask changer
遮光罩搭载器、遮光罩更换器
D7181 Reticle rotation error
标线旋转误差
D7182 Active damper
有源阻尼奇
是指可保管多数片标线片,且来回移动至曝光位
置之机构。同样可保管多数片遮光罩,且来回移
动至曝光位置之机构者,称为遮光罩搭载器或遮
光罩更换器。
是指针线对准之旋转方向误差。
有关半导体曝光装置,为防止底座振动等外来干
扰之避震装置,在此,特别指为控制振动之驱动
器(actuator),传感器(sensor)及其具有控制系
统之避震装置。
是指对应气压之变化(利用空气折射率之变化),
将其受变化投影透镜之放大率(magnification),
加以补偿之机构。
是将多数小型透镜配置成二次元(如同苍蝇之复
眼)之透镜组合。将光源配置在经由椭圆镜等聚
光之位置,可将光源像加以分割,并在标线片
(reticle)上重叠,可以将照度不匀加以消除之透
镜。
是指入射瞳孔与出射瞳孔都位在无限远之光学
系,所有之主光线下不管在物体空间或影像空间,
均与光轴平行,是可减轻对物体面或影像面之位
置误差,及放大倍率误差影响之透镜。
是指将标线片加以微调,籍以决定暴光位置之机
构,同时,可将遮光罩移位至暴光位置之机构,
可称为遮光罩载物台。
D7183 Magnification correction
大气压对因应投影透镜放大率
校正系统
D7184 Light integrator
光线积分器
D7185 Double telecentric lens
双重远心透镜
D.8
编号
蚀刻用语
用语(英文/中文) 用 语 说 明
是将晶圆或形成在晶圆表面上之薄膜全部,或特
定处所蚀刻至必要厚度之装置。
D8001 Etching system
蚀刻系统
8.1
编号
湿式蚀刻装置用语
用语(英文/中文) 用 语 说 明
是可在液相中将晶圆等加以蚀刻之装置总称。 D8101 Wet etching system
精品文档
精品文档
湿式蚀刻系统
D8102 Immersion wet etching system
浸渍式蚀刻系统
D8103 Evacuated wet etching system
减压抽气浸渍式蚀刻系统
是将被蚀刻物浸渍在盛装蚀刻溶液之槽中,藉以
进行蚀刻之装置。
是为去除化学蚀刻所产生氢气泡沫,将蚀刻槽加
以密封同时将槽内空气加以排气之湿式蚀刻系
统。
8.2
编号
干式蚀刻装置用语
用语(英文/中文) 用 语 说 明
是可在汽相中将晶圆等加以蚀刻装置之总称。大
性瓦斯等离子来蚀刻者。
是指利用反应性瓦斯等离子体之干式蚀刻装置,
该晶圆之电位顶多只能达到浮游电位之蚀刻装
置。主要是以中性活性种之作用,来进行蚀刻。
是指将等离子体产生室与蚀刻室加以分开,而将
等离子体产生之较长寿中性活性种,输送至蚀刻
室将晶圆加以蚀刻之等离子体蚀刻装置。
D8201 Dry etching system
干式蚀刻系统
D8202 Plasma etching system
等离子体蚀刻系统
D8203 Down stream plasma etching
分离型等离子体蚀刻系统
D8204 Barrel type plasma etching 是在圆筒型蚀刻室之外围装设电极,经由蚀刻室
system 内等离子体所产生中性活性种,将室内之晶圆加
圆筒型等离子体蚀刻系统 以蚀刻之等离子体蚀刻装置。亦有在蚀刻室内置
入金属制隧道,及藉以控制核电粒子入射到晶圆
之方法。
D8205 Reactive ion etching system/RIE 是指利用反应性瓦斯等离子体之干式蚀刻装置,
system 是将晶圆放置于设置在蚀刻室内之电极上,加以
反应性离子蚀刻系统/RIE系统 进行蚀刻之装置。晶圆是藉以中性活性种与反应
性瓦斯离子之相乘效应来进行蚀刻。
Reactive sputter etching system
反应性溅镀蚀刻系统
D8206 Parallel plate reactive ion etching 是在蚀刻室内备有一对之平行板型电极,将高频
system 电压施加于电极一侧,另一侧电极连接到接地电
平行板反应性离子蚀刻系统 位,且将晶圆放置于任何一侧电极上,藉以进行
蚀刻之反应离子蚀刻装置。
D8207 Hexode type reactive ion etching 是指将六角柱状高频电极装设于蚀刻室内,且在
system 这些电极上固定晶圆,以便进行蚀刻之反应性离
六角柱型反应性离子蚀刻系统 子蚀刻装置。
D8208 Narrow gap reactive ion etching 是指电极间隙较狭窄(5~10mm)之平行板型反
system 应性离子蚀刻装置。因在较高高压领域进行瓦斯
狭窄间隙反应性离子蚀刻系统 放电,可获得高离子电流。
D8209 Triode reactive ion etching 是在蚀刻室内设置,可放置晶圆之一对电极外之
system 第3电极,将此一第3电极之电极之电位加以独
三极型反应性离子蚀刻系统 立控制,可做到控制蚀刻特性之反应性离子蚀刻
装置。有时可将第3 电极定为浮游电位之场合,
与施加直流电压或高频电压之场合。
精品文档
精品文档
D8210 Magnetron enhanced reactive ion
etching system
磁控管增强型反应性离子蚀刻
系统
D8211
是指备有产生磁场领域,因利用在晶圆表面上所
形成直交电磁场,来进行磁控管放电而产生等离
子体(plasma),以便进行蚀刻之反应性离子蚀刻
装置。
Reactive ion beam etching 是指经由反应性瓦斯以离子源产生等离子体,然
system/RIBE system 后将反应性瓦斯离子及中性活性种,照射在与离
反应性离子束蚀刻系统 子源独立载物台上之晶圆,以便进行蚀刻之装置。
用语(英文/中文) 用 语 说 明
编号
D8212 Magneto-microwave plasma 是使用经由微波与磁场所产生高密度等离子体为
etching system 离子源,生成反应性瓦斯离子与中性种,且将其
磁场微波型等离子体蚀刻系统照射到晶圆加以蚀刻之反应性离子束蚀刻装置。
有磁场
D8213 Electron cyclotron resonance 是利用电子回旋加速器(ECR)共振,来蚀刻晶
etching system 圆之磁场微波蚀刻装置。
电子回旋加速器共振蚀刻系统
D8214 Helicon etching system
螺旋波蚀刻系统
是经由沿着磁场传播而属于一种磁场波之螺旋
波,与电子之与互相作用(随机衰减),而利用该
无规则衰减所生成等离子体,以便进行蚀刻之等
离子体蚀刻装置。
是以感应耦合型天线耦合到围绕在螺旋状电介体
等离子体生长室周围线圈之感应耦合型等离子体
蚀刻装置。
D8215 Helical etching system
螺旋型蚀刻系统
D8216 Inductively coupled plasma 是经由高频感应磁场所产生感应电场来加速电
etching system 子,利用此一加速电子所生成等离子体(plasma)
感应耦合型等离子体蚀刻系统 之等离子体蚀刻装置。感应耦合天线之形状有螺
旋状线圈与平板状线圈。
D8217 Ion beam etching system
离子束蚀刻系统
Ion milling system
离子铣削系统
D8218 Photo excited etching system
光激励蚀刻系统
D8219 Aspect ratio
纵横尺寸比
D8220 Post-treatment chamber
后端处理室
D8221 After-corrosion
后端腐蚀
D8222 Under-etching
蚀刻不足
精品文档
是将离子源保持在电位状态,利用非活性瓦斯来
产生等离子体,再由离子源引出非活性瓦斯离子
照射在晶圆,以便加以蚀刻之装置。此一装置有
时亦可称为离子铣削机。
是指反应性瓦斯引进蚀刻室内,经由紫外线或可
视光照射瓦斯或晶圆,藉所产生活性种离子,来
进行蚀刻之装置。
系指被显影之抗蚀剂膜,或蚀刻在晶圆上所形成
之图案,其深度与宽度之比值。
纵横尺寸比=b/a
是指位在同一装置内,在主蚀刻制程后之晶圆处
理室。后端腐蚀对策之处理室即系此一范例。
系指蚀刻时附着在晶圆之氯气或反应生成物,与
大气中之水分反应,因而在蚀刻后会对AI配线,
产生腐蚀之现象。
系指被蚀刻材料,在被蚀刻途中停止蚀刻或已停
止之状态。
精品文档
D8223 Undercut
切割不足,蚀刻不足
side etching
侧面蚀刻
编号 用语(英文/中文) 用 语 说 明
系指深度方向之蚀刻速度,较水平方向之蚀刻速
度为大之场合,亦就是蚀刻速度具有方向依存性
者。
系指在一对之电极中,对设置有晶圆之电极施加
高频电压,而另一电极接到接地电位。
为要能形成于晶圆上凹凸,加以掩埋涂上一层薄
膜,再经过将此薄膜加以全面腐蚀,使晶圆表面
平坦化之方法。此一回蚀可使用于层内绝缘膜之
平坦化或接点之掩埋等。
系被蚀刻面积与晶圆全面积之比率。
系指被蚀刻材料蚀刻速度之参差不齐。对晶圆内
之均质性而言,通常如图D-8228所示,从包括
晶圆中心等n个测试点相关之蚀刻速度,利用其
最大值,最小值或偏差求得次式之均质性。
系指残留在被蚀刻晶圆上之物质。就其生成原因
而言,系以等离子体聚合物等低挥发性之反应生
成物,溅射分解物,或被蚀刻材料中之低挥发性
物质等为核所生成者。这些残渣不仅成为污染或
腐蚀之原因,有时亦会给予组件电气特性不良影
响。
是指处理蚀刻之真空室。一般而言,亦可称为处
理室,反应室或处理腔。
系指可自动检测蚀刻之终点..其检测方法有下列
方法。
(1) 光分析法
(2) 光学反射法(雷射干涉法)
(3) 质量分析法
(4) 阻抗监控法
(5) 压力监控法
(6) 探针法
(7) 红外光吸收法
系制被蚀刻材料之蚀刻速度,与蚀刻遮光罩材料
及底子材料之蚀刻速度间之比值。
蚀刻选择比=a/b
D8224 anisotopic etching
各向异性蚀刻,费等向性蚀刻
D8225 Cathode coupling
阴极耦合
D8226 Etch back
回蚀
系指当被蚀刻材料正进行蚀刻时,系从蚀刻这光
罩之端部向侧面方向进行,被蚀刻材料之图案宽,
较蚀刻遮光罩宽减少之状态。此一蚀刻不足现象,
特别在溶液蚀刻或以基为主体之等离子体蚀刻时
最为显著。对微细图案之形成而言,要作到减少
蚀刻不足系一件重要技术。
D8227 Exposed area ratio
蚀刻面积率,曝光面积率
D8228 Etch uniformity
蚀刻均质性
D8229 Etch residue
蚀刻残余物
D8230 Etching chamber
蚀刻处理室
D8231 Etching end point detection
蚀刻终点检测
D8232 Etch selectivity raito
蚀刻选择比,蚀刻选择性
精品文档
精品文档
a:被蚀刻材料之蚀刻速度。
b:蚀刻遮光罩材料与底子材料之蚀刻速度。
D8233 Etch rate
蚀刻速率
D8234 Over-etching
过分蚀刻
编号 用语(英文/中文) 用 语 说 明
系吸收光或热能后被激励至高能状态,较易引起
化学反应状态之原子或分子。请参考cf.14 JIS。
若对蚀刻瓦斯施加高频波或微波时,将在等离子
体中产生活性种,此等活性种经与被蚀刻膜反应,
而进行蚀刻,有时称为基(radical),或游离基。
为防止基片温度之上升,将载物台以冷却水等媒
体加以冷却,使放置与载物台之基片被冷却之机
构。为要提升冷却效率,对基片背面流通氦气等
瓦斯,或为使基片与载物台间之紧密性增高,有
时以机械式或电气式加以钳子。
为要产生等离子体(plasma).系指需要施加高频电
压之电极,一般而言,系指阴极。
系指当作等离子体放电之激励电源。其最常用之
谐振频率为13.56MHz。通常与耦合组件配合使
用。
是在蚀刻室之清净或更换零件后,为要稳定制程
条件,使用仿真(dummy) 晶圆进行数次之蚀
刻循环。
是指将处之压力置于自动控者。目前最普遍使用
者,是将处理之瓦斯流量保持一定,压力控制置
于回授,而排气系统之电导(conductance)通常
置于自动调整之方法。较简易之方法,是将排气
系统之电导保持不变,而改变瓦斯流量以便控制
处理室之压力。
是指蚀刻洽到被蚀刻材料厚度等量者,或在其状
态者。
是指在蚀刻途中,将操作条件作阶段性改变来处
理者。
是指经由非活性瓦斯之溅射效应之蚀刻。此一方
法大都使用于金属沉积之前站处理,或凹凸部之
平坦化处理。
如图D-8244所示,从蚀刻前之蚀刻遮光罩
线宽W1,减去已完成被蚀刻材料之线宽W2或
W'2之值,可由下式求得之。
图形偏移=W1-W2 W1-W'2
D8235 Activated species
活性种
Radical
基
D8236 Substrate cooing mechanism
基片冷却机构
系指被蚀刻材料之厚度或深度,以时间除之商。
系指蚀刻较被蚀刻材料之期望膜厚为多者,或在
其状态者。
D8237 RF electrode
高频施加电极
D8238 RF generator
高频产生器
D8239 Seasoning
陈化处理
D8240 Automatic pressure control
自动压力控制
D8241 Just etching
适量蚀刻
D8242 Step etching
阶段性蚀刻
D8243 Sputter etching
溅射蚀刻
D8244 Pattern shift
图案偏移
Critical dimension loss
临界尺寸损失
精品文档
精品文档
D8245 Ground etctrode
接地电极
D8247 Sidewall protection layer
侧壁保层
D8248 Taper etcing
锥角蚀刻
编号 用语(英文/中文) 用 语 说 明
为要获得垂直图案形状与高选择,是一比较通常
之干式蚀刻处理温度为低(0。C以下),加以处
理之蚀刻。
是指水平方向与深度方向之蚀刻速度,几乎相同
之蚀刻作用。
是指能在晶圆表面形成微细沟渠之蚀刻。此法通
常可用来形成件分离层及电容器膜。
是指在等离子体中所引起之污染,对其污染物之
生成原因,不仅仅具有与蚀刻同样之残渣,甚至
包括从构成装置材料之重金属,Na+等碱离子。
此一污染可分为对晶圆表面之沉积,于混进晶圆
内部二种。
是受到等离子体照射之损伤。此等损伤大致可分
成下述3种类。
(1)被产生在电极附近之离子层(ion sheath)
所加速之电子,碰撞到晶圆片所形成对被蚀
刻表面之结合破坏。
(2)随着放电所产生等离子体中,因特定波长照
射到晶圆,所引起之所谓照射损伤。
(3)因等离子体中荷电粒之储蓄,对绝缘膜(闸
极氧化膜等)之静电破坏。
是指对硅晶施行沟渠蚀刻中,由于侧面方向之蚀
刻在进行时,在侧壁形成内凹状态者。此一沟壁
内凹对下一制程由CVD法来掩埋,将产生下良
影响。
是指随着洞穴口径或沟糟宽度变小,蚀刻速度亦
跟着下降之现象.在很多之场合,用语栏之日文两
语虽当作同意语使用,若同一尺寸之图案有不同
密度存在时,蚀刻速度有差异之现象,有时可称为
微型加载效应.
是由当作空腔谐振器动作之圆筒状阳极,与在该
中心轴上设置有微细阴极所构成,经由阳极中心
轴方向之静磁场,与反应作用空间内电子间之互
相作用,可以产生微波谐振之真空管,而称为磁控
管.
D8249 Low temperature etching
低温蚀刻
D8251 Isotropic etching
各向同性蚀刻,等向性蚀刻等
D8252 Trench etching
沟渠蚀刻
D8253 Plasma contamination
等离子体污染
是指高频波电极相对称所设置之电极。一般而言。
是指阳极谓之。
是指抗蚀剂之分解物或反应生成物所生成,用来
防止对侧壁之侧边蚀刻薄膜层。
是对配线图案或电极等断面形状进行具有倾斜状
之蚀刻作用,或具有该状态者。
D8254 Plasma damage
等离子体损伤
D8255 Bowing trench
沟壁内凹
D8256 microloading effect
微型加载效应
D8257 magnetron
磁控管
精品文档
精品文档
D8258 magnetron discharge
磁控管放电
D8259 matching unit
匹配单元
matching box / matching network
匹配箱 / 匹配网络
编号 用语(英文/中文)
D8260 anode coupling
阳极耦合
D8261 loading effect
加载效应
D8262 surface wave plasma
表面波等离子体
是指位于真空中之正交电磁场中,电子在阴极上
经由作余摆线(trochoid)运动,而在阴极附近空
间产生高密度等离子体之谓。
是随着等离子体状态之变化.放电中之阻抗亦会
随之变动,因此设置有调谐电路,使针对所施加高
频波输出,藉以调整阻抗使反射波抑压在最小值
之阻抗匹配单元通常都配置在高频波电极附近。
有时称为匹配箱,或匹配网络。
用 语 说 明
在成对之电极中,将设置有晶圆之电极接到接地
电位,而另一电极即施加高频电源,称为阳极耦
合。
是指蚀刻速度显示有蚀刻面积依存性者,一般而
言,蚀刻面积越增加,蚀刻速度会越低落之现象。
是指经由感应在等离子体表面上之表面波,所生
成等离子体区之等离子体。其典型者,是沿玻璃
管所生长尺寸之等离子体。最近,对于大面积等
离子区之生长,正尝试能适用于表面波等离子体。
此外,经由可传波在电介体线路表面波之漏泄电
场,所生成等离子体,有时可称为表面波等离子
体。
是指有关MOS装置构造,在蚀刻中露出在等离
子区之布线,其荷电粒子所流入部分之总面积S,
与连接到此一布线闸极电极之面积Sg之比S/Sg,
所表示之无次元量。此一天线比,可作为评价闸
极氧化膜因储蓄电荷(charge up)而导致可靠性劣
化时之指针。
是利用针对氧化膜所能实现高选择比之停止器
(stopper)绝缘膜,对布线层能自我对准将接触
孔(contact hole)加以开口之技术。即使有对准
偏差或抗蚀剂加工时之参差不齐,可确保接触面
积之稳定。
是指将等离子体之产生,或偏压功率之ON/OFF
等,以从微秒(us)至毫秒(ms)之等级,藉调
制时间来蚀刻之方法。
针对离子之垂直入射,电子可以倾斜入射,因此,
在抗蚀剂图案之侧壁储蓄电荷成负性,而在较密
图案部受此一负电荷之排斥,较低速之电子无法
进入图案底部之现象。
是指在高纵横尺寸比(aspect ratio)图案之底部
或异种材料间之接口对横方向所产生之局部蚀刻
异常形状。
D8263 antenna ratio
天线比
D8264 Self-aligned contact etching
自我对准接触孔蚀刻
D8265 Time modulation etching
时间调制蚀刻
D8266 Electron shading effect
电子遮掩效应
D8267 Notch
凹口,凹槽
精品文档
精品文档
D.9
编号
洗涤用语
用语(英文/中文) 用 语 说 明
是将位在晶圆表面之粒子,有机物或金属表面杂
质等污渍,以液体或气体洗涤剂之化学作用,与
各种物理能量合并使用,藉以去除污渍之装置。,
D9001 Cleaning equipment
洗涤装置
9.1
编号
湿式洗涤装置用语
用语(英文/中文) 用 语 说 明
是指使用药品溶液、有机溶媒或水等液体,来进
行洗涤之装置。对于会产生有害药品或溶媒之洗
涤装置,为不致将蒸气扩散到室内,装设有排气
罩(hood)。此外,为对应于药液使用耐酸塑料,
对应于有机溶媒使用不锈钢作筐体材料。装置专
用之风扇过滤器单元可分为顶棚备有与没有风扇
过滤器者2种。
cleaning 进行洗涤处理之场所(指槽或处理杯),仅有一个
之装置。
是对旋转之刷子边浇洒洗涤液,边将清洗面压着
移动,将晶圆表面附着物加以洗涤之装置。对刷
子之形状有圆筒型与茶杯型,而对刷子材料有植
毛与泡棉等。此一擦洗刷子除了使用于晶圆涂敷
抗蚀剂前之除尘外,最近积极采用于去除晶圆背
面之污渍。有仅处理单面与两面同时处理之装置。
是从喷嘴加压驱使液体洗涤剂,以高速喷射出去
且利用其力道,将附着在晶圆表面外物加以剥离
流掉之装置。
是指将超纯水以液体氮气冷却至-50℃,将该被冻
结成0.1~300um之微粒冰,经由N
2
瓦斯喷射到洗
涤对象物表面,藉以进行洗涤之装置。经由冰粒
子之冲击力,摩擦力效应及低温效应等,将硅晶
圆表面上之微粒子,加以去除是很有效的。亦可
称为冰粒洗涤装置。
是将超音波照射于浸渍在洗涤槽中洗涤物之洗涤
装置。
D9101 Wet cleaning equipment
湿式洗涤装置
Wet station
湿式洗涤站
D9102 Single station
equipment
单站洗涤装置
D9103 Brush scrubber
刷子擦洗机
D9104 High pressure jet spray
高压喷射喷雾机
D9105 Ice jet cleaning equipment
冰粒喷射洗涤装置
Ice cleaning equipment
冰粒洗涤机
D9106 Ultrasonic cleaning eqipment
超音波洗涤装置
D9107 Cemtrofigal spray
equipment
离心喷雾洗涤装置
cleaning 是指对搭载于旋转中旋转器(rotator)之洗涤物,从
旋转中心轴喷雾洗涤液,藉以达到清洗之装置。
可藉将洗涤液输送部之切换阀自由变更,而不致
改变洗涤物之状态,可完成一连串之洗涤处理。
精品文档
精品文档
D9108 Direct displacement
cleaning equipment
直接置换式洗涤装置
直接置换式洗净装置
type 是用多种洗涤液来清洗晶圆时,可经由单一槽将
A液,纯水,B液纯水……等之次序,能将后液
完全置换前液来供给,而不致使晶圆表面接触到
空气,可进行一连串之洗涤处理之洗涤装置。与
一般之洗涤装置有所不同,仅使用一个洗涤槽,
因而有不占装置安装之优点。
是指进行洗涤处理之场所,有多数个之洗涤装置。 D9109 Multi-station cleaing equipment
多处理站洗涤装置
编号
D9110
用语(英文/中文) 用 语 说 明
Multi-bath wet cleaning 是指有多数个槽并排,而将洗涤物顺序输送至另
equipment 一槽,可浸渍于不同药液之洗涤装置。
多槽浸渍式洗涤装置
多槽浸渍式洗净装置
RCA cleaning method
RCA洗涤法
RCA洗净法
于1970年由美国RCA公司所提倡,依下列制程
及组成所成之硅晶圆之洗涤法.
(1) 氨气:过氧化氢:水之容积配合比=1:1~2:
5~7之洗涤液(SC-1液,原名
Ammonia-Hydrogen peroxide Mixture,略称
APM液),保持在75~85度,并进行10~20
分钟之浸渍处理。本洗涤液可去除有机性污
渍,以及附着在晶圆上之粒子。
(2) 氟酸水溶液(1:99之稀释液,原名Diluted
Hydrofluoric acid,略称DHF液),保持在室
温,进行数十秒之浸渍处理。可用来去除硅
氧化皮膜。
盐酸:过氧化氢:水之容积配合比=1:1~2:5~
7之洗涤液(SC-2 液,原名Hydrochloric
acid-Hydrogen Peroxide Mixture,略称HPM液),
保持在75~85度,进行10~20分钟之浸渍处理。
可用来去除表面金属杂质。
是为进行加热药液清洗或加热纯水冲洗,在供应
配管或循环过滤配管之中途,边流入药液或纯水,
边可以加热之装置。可以避免在处理槽内使用投
入式加热器。
在无匣盒湿式处理中,为要将50片晶圆一并加以
处理,在装载晶圆时从位置分离之盒中,抽取25
片晶圆群2组,如同从50片用盒抽取一样,时该
2组靠在一起。而以机械人夹头(robot chuck)挟
持之机构。亦有将25片晶圆加以挟持之夹头2
组靠在一起,将50片晶圆一并输送之方式。此一
方式可促使洗涤槽小形化。
对于将洁净空气之向下流动,与向外界排气之风
D9111
D9112 Inline heater
管线群加热器
D9113 Wafer group closing mechanism
晶圆群靠拢机构
D9114 Air seal type clean draft chamber
精品文档
精品文档
密封式洁净通风室 量,加以平衡之洁净通风室中,在通风道之上部
形成洁净空气又小风量之水平层流,能将药品蒸
汽迅速加以排出之通风系统。即使将向下流动之
风量减低到通常之1/3程度,亦能保持洁净度,
因而能大幅减低排气风量,而对洁净室之省能源
化有所帮助。
是将水流由加工物(work)之下方往上方流动,
而由槽之上面平均溢流之冲洗方式。
D9115
编号
D9118
D9119
Over flow rinse
溢流冲洗
用语(英文/中文)
Cassette cleaning equipment
输送匣盒洗涤装置
Cassetteless wet
equipment
无盒湿式洗涤装置
用 语 说 明
是将附着在晶圆输送匣上之污染粒子,加以清除
之装置。
cleaning 是从输送匣盒将全部晶圆一并夹住抽取出来,经
由槽间之自动输送机构,仅能将晶圆分批浸渍洗
涤之装置。由于不使用输送匣,有处理槽尺寸之
缩少,全部装置之省空间化,减少洗涤液使用量,
可防止来自输送盒之逆向污染,可减少洗涤液被
挟带出来之量,以及可提升洗涤效率或冲洗效率
等很多优点。
是指在药液浸渍洗涤中,附着在晶圆或输送匣盒
上之药液,被挟带到下一个药液槽者。被渗透到
PFA制输送盒之药品,辗转在其它槽渗透出来,
或在保管容器中蒸发之现象。此一现象将对药品
或保管晶圆构成污染之原因。
D9120 Carry over
挟带
D9121 Chelating agent added cleaning 是指在晶圆处理时,为不至于使洗涤液中之重金
chemical 属杂质,污染吸附在晶圆表面,而添加螯合剂之
添加螯合剂洗涤药品 药品。例如市面上出售之SC-1液用之氨水,即为
一个范例。若以此一药品调剂之SC-1液所清洗之
晶圆,较使用一般药品时其受Fe或A1等污染量
少很多,因而可省略以SC-2液之清洗制程。
D9122 Quick dump rinse
快速倾卸冲洗
D9123 Clean draft chamber
洁净通风室
是对水洗槽进行急速排水与供水交互数次之方
法。
是指具有HEPA过滤网与排气通风管道,可在洁
净气氛中进行药品处理,而可加以隔离之场所。
D9124 Hot acid circulation and filtration 是指被设计能耐160℃高温酸液之循环过滤装
system 置。对泵浦,阀或过滤器等构成零件,采用PTFE,
高温酸液循环过滤系统 PFA等材料,具有对热应力有充分考量之构造。
D9125 Choline-based cleaning solution 是以柯林Choline[trimethy1(2-hydrooxiethy1)
柯林基体洗涤液 ammonium hydrooxide]为主剂之晶圆洗涤液。混
合非离子表面活化剂之液体对重金属之洗涤性,
混合H
2
O
2
之液体对微粒子去除性,较RCA洗涤
液为优,其一部分已付之实用化。有时将添加表
面活化剂与甲醇(methanol)之柯林液洗涤,特
精品文档
精品文档
称为Summa clean。
D9126 Surface contamination
表面污染
是指在晶圆表面附着有对加工精确度,或装置特
性有不良影响之物质谓之。除了附着在晶圆表面
之微粒,有机分子,金属杂质外,最近又将自然
氧化膜包括在洗涤对象名单内。
在多槽式自动输送洗涤系统中,是指从加工物装
载到洗涤处理完毕卸载为止之时间。
D9127 Cycle time
循环时间
D9128 Numbers of unremovable particle 是指镜洗涤处理后,尚残留在晶圆表面上之粒子
残留粒子数 数。
编号 用语(英文/中文) 用 语 说 明
在被采用作层间绝缘膜平坦化技术之CMP中,
是指为去除会污染晶圆表面研磨同泥状研磨微粒
之后端洗涤处理。此一洗涤处理可利用到磨刷洗
涤(brush scrub cleaning),超高频波带之超音波
喷洗,药液喷洒洗涤等法。对氧化膜以外,对金
属或多结晶硅之CMP平坦化处理后之洗涤,亦
加以检讨中。
若将Si之CZ结晶晶圆以SC-1加以洗涤时,经
由表面检查装置,宛如有0.1-0.2um之微粒存在,
会呈现有微小坑(pit)被计算。对通常之光散射
方式装置无法识别之真实粒子,称为COP。依结
晶上拉条件之不同,产生比例受到影响,由反复
以SC-1溶液加以洗涤,COP一直会增加来看,
COP可被考量是一种结晶缺陷之蚀刻痕迹。
是指将晶圆浸渍于氧化性药液(例如APM液)
或纯水中处理时,会在晶圆表面生成1nm程度之
SiO
2
薄膜。若将晶圆长时间放置于潮湿空气中亦
会形成。
D9129 Cleaning after CMP
CMP后之清洗
CMP洗净
D9130 Crystal originated particle
结晶起因之微粒
COP
D9131 Native oxide iayer
自然氧化膜
自然酸化膜
D9132 Bath with filter circulation 是指具有经常将药液以过滤器,加以循环过滤功
solution 能之洗涤槽。
循环过滤式洗涤槽
D9133 Hydrogen termination
氢终结化
是指晶圆最外表面之Si原子与氢结合而安定化,
成为疏水性表面之状态。将硅氧化膜以氟酸水溶
液处理后虽会产生此一氢终结化,有时产生不是
很完全,此时经由时间经过会形成自然氧化膜。
是可在水中输送洗涤物之装置。
是指扩散处理或CVD法所使用石英管之洗涤设
备。此一设备有竖立型与横卧型2种,一般可进
行蚀刻(使用氟),水洗及干燥等处理。
D9134 Under water conveyor
水中输送机
D9135 Quartz tube cleaning equipment
石英管洗涤设备
Furnace tube cleaning equipment
炉心管洗涤设备
精品文档
精品文档
D9136 Zeta potential
Z-电位
是位于固体与液体之接口电位差中,经由导电现
象可量测到之滑动面电位。在清洗中,为防止粒
子附着在晶圆表面,拟使晶圆与微粒间有互斥动
作,经由调整溶媒之PH值或添加表面活性剂,
将晶圆及微粒之电位,调整到同符号之接近值为
最有效。
是指洗涤物备洗涤后顺序间歇地由装置输送出来
时之间隔时间.
是调查从洗涤物除去污染程度之评鉴。
是指从湿式洗涤装置之此一槽至下一个槽,将洗
涤物搬运所要时间.
用 语 说 明
是将浸渍在药液之输送用夹盘,在下一个挟持动
作之前,为要去除附着在夹盘之药液,进行水洗
与干燥之机构。水洗之方法有使用喷水者,与浸
渍于溢流冲洗槽内者。然后再以N2干燥空气加
以吹干。
D9137 Cleaning tact timing
洗涤流程时间
D9138 Cleaning evaluation
洗涤评价
D9139 Bath to bath transport time
槽间输送时间
编号 用语(英文/中文)
D9140 Chuck cleaning mechanism
夹盘洗涤机构
D9142
Ultrasonic spray cleaning 是指边施加超音波振动,边将洗涤振动,边将洗
equipent 涤液对准被洗涤物加以喷洗之洗涤装置。与单纯
超音波喷洗洗涤设备 之喷洗比较,有提高洗涤力之效果。
Surface cleanliness
表面洁净度
是指以存在于晶圆表面少许污染物之定量测试
值,来表示干净表面之洁净程度。例如对微粒污
染而言,是以指定粒子经以上之微粒,在每1cm
2
之附着数,对表面金属杂质而言,是指每1cm
2
之原子数,对有机分子污渍而言,是以每1cm
2
之
重量值或表面覆盖度来表之。
是指对0.5%氢氟酸以1-10%之过氧化氢,加以混
合之洗涤液,取其英文冠头字,而简称为FPM液。
不受来自氢氟酸单独洗涤成为问题排污之铜污
染,经由室温之浸渍处理,可实行去除晶圆表面
之氧化膜与金属污染。对洗涤面亦不会形成自然
氧化膜。
是指添加5-10ppm氢氟酸之纯水。若使用此一添
加HF之纯水,来清洗以氢氟酸来处理氧化膜去
除后之晶圆,与使用单纯之纯水来清洗晶圆作一
比较,前者对晶圆在清洗中或保存在大气中,对
自然氧化膜之成长有显著之抑制作用。此外由于
氢氟酸之杀菌力,亦可防止微生物在纯水系统中
生长。
是指在刷子擦洗机(brush scrubber),高压喷射洗
涤机,喷洗式蚀刻机中,可进行洗涤等处理之杯
状容器。
在SI晶圆之镜面亦会形成节距在100-1000nm,
D9146
D9147
Hydrofluoric acid hydrogen
peroxide mixture cleaning
solution
氢氟酸过氧化氢混合洗涤液
D9148
HF added pure water
添加氢氟酸纯水
D9149 Process cup
制程杯状容器
D9150 Microroughness
精品文档
精品文档
微型粗糙度 高度在数nm以下之凹凸。此一层级之凹凸,称
为微型粗糙度,会受晶圆之研磨条件,结晶方位,
SC-1洗涤条件之影响。若微型粗糙度增大,将会
影响薄闸极氧化膜耐压特性之下降,为抑制此一
缺失,将标准组成之NH4OH 比,宜定在数分之
一以下来洗涤。
是指使用800KHZ至1MH频带超音波之洗涤装
置。就超音波洗涤而言,因不会产生洞蚀现象
(cavitation),且能将强大振动加速授予水分子,
不致损伤到晶圆又能去除次微米(submicron)级
之微粒。
D9151 Megasonic cleaning equipment
MHz超音波洗涤设备
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指将洗涤等处理所使用之药液废液,经过过滤
蒸馏及离子交换等加以精制,使其能再利用之装
置。诸如硫酸,氢氟酸等之再生器已付之实用化。
是指将药品或洗涤液储存到使用为止之槽。
是指为使能将药液中杂质微粒加以过滤,以抗药
品性材料作成空质膜或外科(housing)之过滤器。
对半导体已使用氟化物树脂(fluorideresion),对
加以过滤之粒子经,多使用相对应经控制之多孔
质膜。
D9152 Chemical regenerator
药液再生器
D9153 Chemical storage tank
药液储存槽
D9154 Chemical filter
药液过滤器
D9155 Automatic chemical diluting and 是指为要调制蚀刻液或洗涤液,对药品之稀释或
mixing equipment 将数种药品自动加以混合之设备。过氧化氢族系
药品自动稀释混合设备 洗涤液,因在使用前有加以调制之必要,都编入
在洗涤设备中,而氢氟酸蚀刻液因非常安定,可
使用本设备大量集中起来且稀释到一定值,可供
应到各使用场所。
D9156 Sulfuric acid hydrogen peroxide 是将浓硫酸1容积对过氧化氢水0.25-1容积之混
cleaning 合液(surfuric acid-hydrogen peroxide mixture,简称
硫酸过氧化氢洗涤 SPM液),加热到130前后,用来进行抗蚀剂剥
离,去除有机性污渍或表面杂质等洗涤。有时可
Piranha cleaning
称为piranha cleaning。
白骨化洗
D9157 Liquid spill sensor
液体溢流传感器
是指为要侦测从洗涤装置或蚀刻装置等储存槽、
配管,有否药液或洗涤水泄漏等传感器。一般而
言,都使用经由液体泄漏可检测出电气容量变化
之传感器。
是指经由微处理器控制,可将被洗涤物在洗涤装
置内自动加以输送之机械人输送机。
是指将晶圆逐片加以洗涤处理之装置,与成批
(batch)式相对,而称为单片式。其洗涤方法有例
如喷雾之湿式处理方式,或气相洗涤处理方式。
本方式适合于300mm以上大口径晶圆之洗涤。
D9158 Robotic transporter
机械人输送机
D9159 Single wafer processing cleaner
单晶圆加工洗涤机
D9160 One bath type
equipment
单槽型洗涤设备
cleaning 是指一个洗涤槽/室,可顺次进行多数之洗涤处理
之装置。虽因装置所占面积 较小最近受到青睐,
反面生产能力(through-put)将下降。
D9161 Electrolytic ionized water 若对纯水或溶有微量电解质之加水加以电解时,
/electrolysis-ionized water 从阳极(anode)室可得酸性又是强氧化性之水,
电解电离水 从阴极(cathode)室可得碱性又是强还原性之水。
据云,电解阳极水对Si表面污染金属之去除,电
解阴极水对附着在Si晶圆表面上微粒子之去除,
其效果特别好。
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
D9162 Total room temperature wet 是指全工程可在室温加以处理之洗涤处理。本处
cleaning process 理具有下述:
全室温湿式洗涤处理 (1) 仅能在臭氧,HF,H
2
O
2
接口
活化剂之低
浓度使用。
(2) 药液蒸汽之产生较少,且不需药液管理其
排气量也能削减。
(3) 省能源,省资源。
(4) 环保对策容易等特征。
D9163 Parallel downflow rinse
并行下流冲洗
从浸泡槽之上方并行供给纯水,通过设在底部之
多孔板,经由同一流量以泵排水,使槽内形成均
一流速分布之下降流冲洗方法。此一冲洗法水很
容易流过狭窄之晶圆间隙,因不致在槽内生成滞
留部,可获得高效率之冲洗。
是指边将晶圆加以旋转,边将纯水或药液洒在晶
圆上,将其逐片加以洗涤之装置,有时可同时使
用刷子擦洗机(brush scrubber)或超音波喷雾器。
D9164 Spin cleaner
自旋洗涤器
9.2
编号
干式洗涤装置用语
用语(英文/中文) 用 语 说 明
是指不用液体可将被洗涤物表面之污渍加以去除
之装置。
D9201 Dry cleaning equipment
干式洗涤设备
D9202 Photo-chemical
equipment
光化学洗涤设备
cleaning 是指以比200nm更短波长之光,照射含有氧气空
气之污染面,可将洗涤物表面之有机污染物,经
氧化分解加以去除之装置。诸如UV臭氧洗涤及
紫外线臭氧洗涤等装置都属此一类。
是将化学药品蒸汽或瓦斯,以非活性瓦斯稀释后
送入反应室,促使洗涤物表面污染物,变成易于
气化之反应生成物,然后加以去除之装置。
是利用氧化性或非氧化性瓦斯,所产生等离子体
来加以洗涤之装置。
是经由共沸点氢氟酸或氟化氢与乙醇之共沸点混
合液所产生蒸汽,将硅晶圆表面之自然氧化膜,
加以去除之装置。特别用作成膜制程前之处理。
是将由光化学洗涤装置之紫外线照射所产生有害
臭氧,不致排出装置外而加以无害处理之装置。
D9203 Vapor phase cleaning equipment
汽相洗涤设备
D9204 Plasma cleaning equipment
等离子体洗涤设备
D9205 HF vapor cleaning equipment
氢氟酸蒸汽洗涤设备
D9206 Ozone gas processor
臭氧瓦斯处理器
Ozone removal equipment
臭气去除装置
精品文档
精品文档
9.3
编号
3 干燥装置用语
用语(英文/中文) 用 语 说 明
是将湿式洗涤后之晶圆,去除水分至干燥状态之
装置。
是指利用由高速旋转之离心力,将水分加以甩掉,
使之迅速加以干燥之装置。将装置内部分装可喷
射洗涤功能者,特别称为冲洗干燥机(rinser
dryer)。近来为除去晶圆与输送匣盒内之晶圆片
数差,而自动调节重锤位置,亦有可防止因旋转
振动而产生碎屑(chipping)者。此一型干燥机亦
可称为自旋干燥机。
是将水气化潜热较少之有机溶媒加以置换后,利
用有机溶媒之蒸汽,来加热使之干燥之装置。
是将热空气或氮气吹上去,使水气蒸发干燥之装
置.
是从60C前后之温超纯水中,将晶圆以1mm/s程
度之定速,上拉加以干燥之装置.晶圆与设备接触
部间之水滴去除,需要下一番功夫.
是将干燥室抽真空至大气压以下,提高沾有溶媒
洗涤物之蒸发速度,将不宜在较高温度干燥之多
孔质物体,或复杂之装配对象等加以干燥之装置.
是将硅晶圆放置与异丙醇(isopropyl alcohol,简称
IPA)之加热蒸汽中,边以凝缩IPA将附着水加以
置换,边将晶圆升温至蒸气温度,而加以干燥之
方法。此法可得无污染之干燥,又可收到晶圆之
除电效果。对于使用IPA之水分管理,及针对可
燃性安全对测策,至为重要。另一方面,以不可
燃混合溶液过氟化碳(perfluorocarbon)及三氟化
乙醇(trifluoroethanol)替代IPA之方法,亦获得实
用化。
是指残留或附着在被洗涤干燥之洗涤物表面之水
滴,所生成之斑点.
是指有关离心干燥机,达到被设定旋转速度所需
要之时间.
是指当设备发生火灾时,由被检测器之指令,喷
射灭火药剂迅速将火灾加以扑灭之装置。即使万
一着火,为防止延烧有加以设置之必要。
是指离心干燥机在中心部收纳有一个大型套装匣
之转子。
D9301 Drying equipment
干燥设备
D9302 Centrifugal drying equipment
离心干燥设备
Spin dryer
自旋干燥机
D9303 Vapor drying equipment
蒸汽干燥设备
D9304 Hot air(gas)drying equipment
热空气干燥设备
D9305 Pull up drying equipment
上拉干燥设备
Capillary drying equpment
毛细管作用干燥设备
D9306 Vacuum drying equipment
真空干干燥设备
D9307 IPA vapor drying
异丙醇(IPA)蒸汽干燥
D9308 Water mark
水痕
D9310 Cradle frame
旋转速度建立时间
D9311 Automatic fire extinguisher
自动灭火器
自动消火装置
D9312 Single cassette rotor
单个套装匣转子
D9313 Non fammable solvent vapor 是指将C6-C8 perfluorocarbon、trifluoroethanol之
drying 混合溶媒,作为fluoro 113·ethanol混合溶媒之
不可能溶剂蒸汽干燥 代替品,加以使用之不可燃溶媒蒸汽干燥。
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指可感测从火焰放射出来之紫外线,而产生信
号之光传感器。此一型传感器较利用感测热或红
外线之火焰传感器,灵敏度较高。
是指可收纳多数个套装匣之离心干燥机转子。
系指收纳有离心干燥机的套装匣,由离心力将水
甩掉的旋转部。
系指将晶圆以适当速度,边从冲洗槽上拉,边以
含有氮气的异丙醇(IPA)蒸汽,在室温加以吹干
的方法。上拉的晶圆正要离开水面时,水表面结
构部(气液接口与晶圆面间的交叉部所形成的弯
曲部)受到(IPA)的溶解,表面张力会下降,是
利用马兰葛尼效应使晶圆不至于沾水。此一干燥
法IPA消耗量较少。又有不留下水痕的特点。
D9314 Flame sensor
火焰传感器
D9315 Multi cassette rotor
多个套装匣转子
D9316 Rotor turn table
转子转盘
D9317 Marangoni drying
马兰葛尼干燥
D.10 化学机械式抛光(CMP)用语
编号 用语(英文/中文) 用 语 说 明
所谓化学机械研磨CMP是平坦化技术之一种,
将随着装置多层化所产生凹凸面,使用化学研磨
剂及亲垫,加以磨削成平坦化之方法。
是指衬垫(pad)表面磨损剥落谓之。一般而言,衬
垫之研磨使研磨剂之通路减少,将对研磨之再现
性有不良影响。
对裸洞(bare hole)或布线之槽沟,经由形成薄
膜进行掩埋,再经由研磨将多余之堆积部分加以
去除,而进行洞穴之掩埋布线之技术。
使用弹性研磨布来研磨时,不仅擬加以平坦化之
凸出部分,连原本已平坦之部分,亦在研磨中被
去除,而呈现盆状之凹处。
预先对裸洞(bare hole)或年个将变布线之部分,
加以形成为槽沟经由新形成薄膜进行掩埋,接着
经由研磨将多余之堆积部分加以去除,将洞穴之
掩埋与布线同时形成之技术。
是指当将绝缘物加以研磨时,因部分之研磨速
度,比绝缘之研磨速度为快,因而图案全部比理
想之终点面快,因而图案全部比理想之终点面被
研磨变薄之谓。
D10001 Chemical mechanical polishing
化学机械研磨
D10002 grazing
衬垫表面剥落
D10003 damascene
金属镶嵌法
D10004 dishing
凹状扭曲研磨
D10005 dual damascene
双道金属镶嵌法
D10006 thining down
变薄,变细
精品文档
精品文档
精品文档
设备、环保
工程用语
第八章
精品文档
G.1 水用语
1.1 前置处理装置用语
编号
G1101
用语(英文/中文)
Pretreatment equipment
前置处理装置
用 语 说 明
系对一次纯水系统供应原料水之前,预先经由物
理处理、化学处理,将原料水中的胶质(colloid),
粒子状物质,及微生物等加以去除的系统装置。
G1102 Pretreatment equipment with 系指使用超级过滤膜(ultra filtration membrane,简
membrane filter 称UF膜),将原料水中的粒子状物质及微生物等,
薄膜处理器前置处理装置 加以去除之前置处理装置。
Rapid mixing chamber
快速混合槽
当将原料水中的胶质(colloid)状态浊物质加以
去除时,应该使用凝聚剂。系指为使此一凝聚剂
与原料水作快速且均匀混合的反应槽。本槽系设
置在沉淀槽之前段。
系指经由注入药品,混合及形成凝聚物(flock)的
阶段,且扩大成长为凝结生成物,而加以沉淀分
离的清浊装置。
是将气泡附着凝聚生成物使之漂浮,由上方将浮
上来之淤泥( sludge),加以取出之固液体分离
装置。
是指将沉淀槽排泥系统。一般而言,经由定时自
动伐,定期加以排出之方法较多。
指将从凝聚沉淀装置流出之教小,凝聚生成物加
派排除之装置。次一装置有重力式与压力式2种
类。就构成3层过滤器之过滤材料而言,其有效
直径为0.45—0.7mm之砂碟,或0.9—1.2mm之
粒状无烟煤及使用粒径在2—40mm之砂碟支援
材料。
指其过滤器之构造为开放式者,其流动是靠重力
自然流出之装置,其名称对应与压力式过滤器之
称呼。次一过滤器之构成,大多使用砂单层或粒
状无烟煤与砂等2层过滤为多数。
指过滤器之构造为密封槽且经出内压流出者。其
过滤材料之构造,虽与重力式同样,不过其流出
速较直力式之过滤速度为快。
G1103
G1104 Coagulo-sedimentation
tank/coagulo-setting tank
凝结沉淀装置
Floatation equipment
漂浮分离设备
浮商分离设备/加压浮上装置
Sludge removal system
淤泥排除系统
排装置泥
Sand filter
砂碟过滤器
Rapid sand filter
快速砂碟过滤器
G1105
G1106
G1107
G1108 Gravity filter
重力过滤器
G1109 Pressure filter
压力过滤器
G1110 Carbon filter/activated carbon 是指充填有粒状活性炭之过滤器,可用于去处水
filter 中之有机物质,残留氯气或过氧化氢等。
活性碳过滤器
Diatomite filter
圭藻土过滤器
Pre-coat filter
预敷过滤器
是预先将圭澡土预敷在支持面,是一种利用比一
层加以过虑之精密过滤器。
G1111
精品文档
精品文档
编号
G1112
用语(英文/中文)
Up-flow filter
往上流过滤器
Filtration equipment
coagulation
凝聚过滤设备
Underdrain equipment
用 语 说 明
是指其水流往上流动,达到澄清化之过滤器。与
水流往下流动比较,其对浊质之捕捉及澄清量较
多,或具有无法在高速过滤之特点。
with 是在过滤器之正前方边添加凝聚剂边过滤之装
置。是将成长之小凝聚物,不直接经过过滤器而
全部由滤管加以捕捉之方式。此一方式主要使用
于悬浊物质较少之生水。
是设置在过滤器滤层下方之集水装置。其主要任
务为支持过滤材料,收集过滤水及逆洗时洗涤水
之均配等。次一过滤层形式有多孔管,多孔板或
喷嘴方式等。
是指可测试过滤器输入口与输出口间之压力差之
仪器。亦是为要机测因水中悬浊物堵死过滤网层
之实际状况者。
是指以椰子壳或煤为原料,火化成0.5-1.0mm程
度粒子之活性碳。因其具备多孔质微细构造,不
仅表面积较大且有优异之吸附材料性能。此外,
具有可还原氧化物质之能力。
是指可去处水中之铁(Fe)或锰(Mn等杂质之装
置。清除铁质可依靠吹气(aeration),前置氯气
处理,凝聚沉淀及过滤方式加以处理之。而清除
锰质可在前置氯气处理后,利用吸附锰过滤材料
之接触过滤方式加以处理之。
是指可将水中之胶质(colloied)状态浊物质,以凝
聚沉淀法或凝聚过滤法加以去除时,将所使用之
药品,凝聚剂,减性剂及凝聚辅助剂等加以注入
之设施。
指将水中之残留氯气,以次硫酸苏打等还原剂,
加以去除之药品注入设施。
为要去除藻类,杀菌或洗涤为目的,在凝聚沉淀
槽或过滤气之入口处,将氯气等加以注入之装置。
是有关凝聚沉淀法中,为能形成较大之凝聚生成
物,可用作注入凝聚剂及凝聚辅助剂等之设施。
凝聚剂之种类,可依水质、浊度或操作性来决定
之。大都使用PAC或l硫酸铝(AL2(SO3(3).凝聚
辅助剂乃适当仅使用凝聚剂,尚不足以形成充分
之凝聚生成物时添加进去。大都使用澡酸(algin
acid)苏打或聚合物(polymer)。此一设施是由储
存槽,稀释槽及注入泵等所构成。
G1113
G1114
G1115 Differential pressure gauge
G1116 Granular activated carbon
G1117 Deiron demanganese equipent
G1118 Chemicals feeding facilities
药品注入设施
G1119
G1120
G1121
Dechlorination equipment
Chlornation equipment
Coagulants feeding equipment
精品文档
精品文档
编号
G1122
用语(英文/中文)
PH adjustment equipment
PH 值调整装置
PH调整剂注入装置
Control-air source equipment
控制空气源设备
制御空气源装置
Residual chlorine meter
残留气体测试计
残留盐素计
用 语 说 明
是为要维持最适当之凝聚条件,调整未处理水之
PH值及因凝聚反应所消耗之检,籍以注入酸液或
碱液之设施装置。就其调整剂可使用盐酸,硫酸,
氢氧化钠,碳酸钠,氢氧化钙等。
是使用于操作空气汽缸式自动阀,调节法,或空
气式调整器等,可制作压缩空气之装置。本设备
主要是由空气压缩机,空气储蓄槽,除湿器或减
压阀等所构成。
氯气被水中之氧化物所消耗,其剩余部分就成为
残留氯气。为要测试此一剩余量,有极谱仪
(polarograph)方法后比色法(colorimetric
method)。所谓残留氯气,是指游离残留氯气
(HCLO及CLO
-
)与残留结合氯化物(水中之氯
气与游离残留氯气结合之氯化物)之综合物。
是指可连续测试荷电粒子,经由重力而移动时所
产生电位差之监测器。有关水处理,此一监测器
可使用于化学药品之最适添加量自动控制系统。
是将供应水微量污浊加以定量化之指标。使用直
径47mm之孔径薄膜过滤器(membrane filter),
将试料水在2.1kg/cm
2
之加压下过滤时,先测试初
期要过滤500ml,所费时间为T
0
,进一步在同一
状态下继续过滤15分钟后,测试过滤500ml所
费时间为T
1
,则污浊指标以FI=(1-T
0
/T
1
)x 100/15
之值表之。
G1123
G1124
G1125 Streaming current monitor
流动电流检测器
流动电流
Fouling index(FI)
污浊指标(FI)
FI
G1126
G1127 Silt density density index(SDI) 是将供应水微量污浊加以定量化之指标。其T
0
,
淤泥密度指标SDI T
1
之测试法虽与FI相同,从T
0
至T
1
为止之时间
SDI 可任意设定在5~15分,且以次式之值求得之。
SDI=(1-T
0
/T
1
)x100
M-alkalinity
M碱度
M
(alkalinity (PH4.8)
含碱量(PH4.8)
酸消耗量(PH4.8)
是经由甲基橙(methyl orange)指示药,或甲基
红(methyl red)指示药,将直到PH4.8之氢氧离
—
子(OH
-
),碳酸离子(CO
3
2-
)及重碳酸离子
(HCO
3
)等酸消耗量换算成碳酸钙(CaCO
3
),
而以mg/l所表示之数值。通常,天然水之场合,
是指HCO
3
-
之量。
系指尚未经处理之水中有机物(经由氯气处理所
生成之物质),也系甲烷(methane,CH
4
)之氢原子3
个,与氯气(Cl),臭氧(Br),碘(I)之卤素原子置换
之化合物。通常系指CHCl
3
,CHBrCl
2,
CHBr
2
Cl及
CHBr
3
。也属于TOC(total organic carbon)成分,
可由RO(reverse osmosis)装置等去除之
G1128
G1129 Trihalomethane
三卤甲烷
精品文档
精品文档
编号
G1130
用语(英文/中文)
Total organic halogen (TOX )
全部有机卤素化合物
用 语 说 明
系有机卤素化合物之总称。除了三卤甲烷外,尚
含有三氯乙烯(C
2
HCl
3
),四氯乙烯(C
2
HCl
4
),四
氯化碳(CCl
4
),二氯甲烷(CH
2
Cl
2
),三氯乙烷
(C
2
H
3
Cl
3
),及二氯乙烯(C
2
HCl
2
)等。
系指将取样水蒸发加干固,在105-110
o
C加以干
燥时所残留之物质。也系未经处理水之水质指标,
通常未经处理水之指标,在数10-150ppm程度之
值。
系指具有将水中阳离子或阴离子,选择性渗透能
力之胶片状高分子膜.在母体中具有正负电荷之
交换基.除了能渗透阳离子性质之阳离子交换膜,
及能渗透`阴离子交换器外,尚有能交换两性离子
之交换膜.
G1131 Total dissolved solid (TDS )
全部溶解固体
G1132 Ion exchange membrane
离子交换膜
1.2 一次纯水装置用语
编号 用语(英文/中文) 用 语 说 明
系指由前置处理装置,一次纯水装置,及次系统所
构成所谓超纯水制造装置之中间部分.一次纯水
装置系由逆渗透装置,离子交换装置及脱气设备
等所构成.
系指将存在前置处理装置处理水中之离子,微粒
子,微生物及有机物等全部杂质,加以去处之高纯
度水.其电阻系数在5-18MΩ-cm程度的纯水,虽也
可照样被加以使用之案列,通常作为设置在后段
次系统之原料水.此外,对除去离子之低纯度水,即
称为去离子水,或纯水.
系指利用离子交换,将水中之离子加以吸附去除
之装置.可利用于纯水制造或废水之回收再利用
等.
G1201 Primary pure water system
G1202 Primary pure water
一次纯水
G1203 Ion exchange equipment
离子交换设备
G1204 Two bed three tower deionizing 系由阳离子(cation)交换树脂塔,除碳酸塔
equipmeng (decarbonator),或真空排气塔(deaerator),及阴离子
二床三塔去电离设备 (anion)交换树脂塔,所构成之多床式纯水装置.去
Two bed three tower
电离设备有时也可称为除磺设备(demineralizing
equipment).
demineralizing equipment
二床三塔除磺设备
G1205 Cation exchanger
阳离子交换器
G1206 Decarbonator
除碳酸塔
精品文档
系充以阳离子交换树脂之离子交换塔.此种交换
塔大都称为H塔或K塔.通常系由使用酸液来再
生
系将未处理水中之CO
2
,加以去除之设备.对喷雾
(spray)之水经由吹进大量之空气来进行除去碳
酸.
精品文档
编号 用语(英文/中文) 用 语 说 明
系充填有阴离子交换树脂之离子交换塔.此一交
换塔多半称为OH塔或A塔.通常系以碱液来再
生.
系在一个离子交换树脂塔中,充填有阳离子交换
树脂与阴离子交换树脂,混合使用之设备.与多床
式交换塔比较,可获得较高纯度之水.
系将混合式离子交换塔配置在2床3塔型纯水装
置之后端,可将纯水中微量之离子加以去除且可
净化之设备.
ion 为易于获得水处理,系指将再生药品注入方向于
水流方向逆流之离子交换装置.与再生药流,水流
同一方向之顺流式离子交换装置比较,不仅可获
得优异之水质处理,且可节约再生药品使用量.
系指具有能将存在于水中之离子,加以吸附去除
能力之珠状合成数值。此一树脂有阳离子交换树
脂及阴离子树脂之种类.也有同样功能之纤膸
是可将存在于水中之阳离子,诸如Na
+
,Ca
2+
,
Mg
2+
等,加以吸附去除之离子交换树脂。此一树
脂可分为对阳离子吸附力较强之强酸性阳离子交
换树脂,与吸附力较弱之弱酸性阳离子交换树脂
2种类。尚有多孔(prous)型及凝胶(gel)型等
2种类。
是可将存在水种之阴离子,诸如Cl
-
,SiO
4
2-
,SiO
2
-
等,加以吸附去除之离子交换树脂。此一树脂可
分为对阴离子吸附力较强之强碱基性阴离子交换
树脂,与吸附力较弱之弱碱基性阴离子交换树脂
之2种类。尚有多孔型与凝胶型等2种类。
是将苯乙烯(styrene)与二乙烯苯(divinylbenzene)
之凝胶型重合体为母体之离子交换树脂。若二乙
烯苯之添加量加少了即树脂之微观细孔
(micropore)之孔径会变大,若添加量加多了即
微观细孔之孔径会变小,而变成密度大又坚硬之
构造。这一型最被普遍使用。
G1207 Anion exchanger
阴离子交换塔
G1208 Mixed bed column
混合床交换塔
G1209 Mixed bed polisher
混合床净化塔
G1210 Conterflow regeneration
exchanger equipment
逆流再生离子交换设备
G1212 Ion exchange resin
离子交换树脂
G1213 Cation exchange resin
阳离子交换树脂
G1214 Anion exchange resin
阴离子交换树脂
G1215 Gel type ion exchange resin
凝胶型离子交换树脂
G1216 Porous type ion exchange resin
多孔型离子交换树脂
是将苯乙烯(styrene)与二乙烯苯(divinylbenzene)
之共同重合在有机溶媒之存在下,所生成所得重
合体,作为母体之离子交换树脂。与凝胶(gel)
Macro reticular type ion
型同样有微观细孔,同时亦具有多数之宏观细孔
(macropore)。经多孔化之树脂与凝胶型比较,
exchange resin
每容积之交换容量多多少少会降低,但其耐有机
宏观网状型离子交换树脂
污染性及物理耐久性等均会提升。
是促使被离子交换树脂所吸附之被处理物质 (是
离子化物质),经由酸液,碱液或经由流通电流,
使之剥落之操作。
G1217 regeneration
还原,再生
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是将使用于还原离子交换树脂之还原剂量,以相
等于每单位树脂体积之量,表示还原剂之使用量。
例如:若以1 liter之树脂用100g之氢氧化钠
(NaOH)来还原时,其还原量即为100g NaOH/l 树
脂。
是指离子交换树脂所具有能引起离子交换反应之
交换基量。此一交换基量可区分成总交换容量,
中性临分解容量,贯穿容量等3种类。总交换容
量是表示交换基之总量,而以mg当量/ml湿润树
脂表之。
是指使用逆渗透膜来去除水中之离子,有机物,
微粒子及生菌之装置,虽会因水质而有所不同,
都设置在离子交换装置之前段或后端,对处理水
水质之安定化,达成极重要之角色。本单元是由
安全过滤器,高压泵,逆渗透膜及压力容器等所
构成。
是将第一段RO之渗透水供应到第二段RO来处
理,藉以获得电阻系数为0.5~5MQ-cm程度纯水
之装置。有时后段可与不需要还原设备之离子交
换装置搭配使用者较多。
是指应用逆渗透现象之过滤膜。此过滤膜可用来
去除溶存于水中之离子,有机物,微粒子及生菌
等。
是经由逆渗透膜将水中之杂质与水分离之际,不
渗透过膜而以浓缩杂质之形式所产生之水。虽因
水质而有所不同,其水量约为给水量之10~30%
程度。
是指设置在RO装置高压泵正前方之高压泵保护
过滤器。可使用数μm~数+μm孔径之过滤器。
是指可去除溶于水中瓦斯之设备。是在真空中,
经由将水喷出成雾状来进行。
是指将水银灯放置于水中,利用其波长253.7nm
之紫外线,来杀死微细菌(bacteria)之装置。
是将已经除氧之纯水储存槽,以氮气加以密封,
防止O
2
或CO
2
气体再溶解之系统。
G1218 Regeneration level
还原量
G1219 Ion exchange capadity
离子交换容量
G1220 Reverse osmosis unit
逆渗透单元
G1221 Double pass RO
二段式逆渗透装置
G1222 Reverse osmosis membrane
逆渗透膜
G1230 Concentrate brine
浓缩(盐水所产生之)水
浓缩水
G1231 Safety filter
安全过滤器
保安
G1232 Vacuum degasifier
真空除气塔
真空脱气塔
G1236 Ultra-violet sterilizer
紫外线杀菌器
紫外线杀菌器/UV杀菌器
G1237 Nitrogen seal
氮气密封
窒素
G1238 Ultra low pressure reverse 是指在3~20kg/cm
2
之操作压力下运转,能获得指
osmosis membrance 定之水量与水质之逆渗透膜。大都使用合成聚合
超低压逆渗透膜 物制成之逆渗透膜。与以前之低压逆渗透膜比较,
超低压浸渗透膜 在同一压力下可获得将近2倍之渗水量。
精品文档
精品文档
1.3 超纯水装置用语
编号 用语(英文/中文) 用 语 说 明
是将自来水,工业用水,及井水作为原料水,将
水中之杂质以高纯度离子交换树脂,使用高功能
膜加以精制分离,籍以制造高纯度纯水之全部装
置。该系统是由前置处理装置,一次纯水装置及
次系统等所构成。
是指经由超纯水制造装置,将水中之悬浊物质,
溶解物质及杂质,以高效率加以去除之极高度纯
水。尚有极微量之微粒子数,微生物数,TOC,
二氧化矽(silica;SiO
2
),溶解氧气及金属离子等
成为问题。就溶解物质而言,被要求有10亿分之
1单位(μg/l)层级之纯度。就微粒子而言,最
小图案尺寸10分之1以上大小者尚成为问题。
是以一次纯水为原料水,籍仪2制造超纯水之装
置,是设置在主系统之附近。系统是由低压紫外
线氧化装置,筒夹式净化器(cartridge polisher),
压力过滤膜(精密过滤膜、UF膜、RO膜等)所
构成。
是指暂时储存一次纯水之储水槽,为防止电阻系
数之下降以及细胞之增加,以氮气加以封存且加
设透气过滤器。
MF之形状大致可分类为圆形片状之隔膜,摺折
状筒式过滤器,及中空纤维状之中空丝线型隔膜
过滤器。其孔径在0.1~0.45μm者较被普遍使用。
G1301 Ultra pure water system
超纯水制造系统
超纯水制造装置
G1302 Ultrapure water
超纯水
G1303 Subsystem
次系统
G1304 Pure water storage tank
纯水储水槽
G1307 Micro filter
精密过滤膜
Membrane filter
隔膜过滤器
G1309 Hollow fiber type ultrafiltration
membrane
中空纤维丝型超过滤膜
是指内径1mm,外经数mm程度之毛细管状膜 。
将此一隔膜作如下图之模组化。而安装在UF装
置依动作流程,可分为将原料水供应于中空纤维
丝内,过滤水由纤维丝外取出之所谓内压式超过
Capillary type ultrafiltration
滤法。与此现反,将原料水从纤维丝外供应,过
滤水由纤维内取出之所谓外压式超过滤法。后者
membrane
在二次侧之微粒子剥离较少,建立时间性能较佳。
贸细管型超过滤膜
其过滤方法有全部过滤与交叉流动()。就隔膜材
料而言,有polyacrylonitorile与polysulfon等。
G1310 Hot water resistant ultrafiltration 是指可当作热水杀菌或蒸汽杀菌之超过滤膜。就
membrane 膜材质而言有polysulfon与polyethersulfon.。
耐热水超过滤膜
精品文档
精品文档
编号
G1311
用语(英文/中文)
Cut off molecular weight
截止分子量
Cut-off level
截止能阶
G1312 Deionized water heating
equipment
超纯水(去电离水)加热设备
超纯水加热装置,温超纯水供给
装置
G1313 Antistatic charge equipment for
ultra pure water
超纯水抗静电设备
超纯水用带电防止器
用 语 说 明
是指可作为评价隔膜(特别是UF膜),孔径
(aperture)之指标。亦是隔膜在特定阻止率所能
阻止之最小分子量。在此所谓特定阻止率,使用
胰岛素(insulin),聚乙烯,乙二醇
(polythleneglycol)或葡聚糖(dextran)作为标
准物质,其阻止率通常采用90%或95%。
是不致降低热纯水(40-90˚C)供应给使用场所
之装置。就其加热方法而言,有使用热交换器或
直接加热器都有,其连接管之材质有不锈钢,钛
(Ti),氟素树脂等。
由于超纯水之带静电,将会导致晶圆面附微粒子,
或引起电路之破坏,因此将二氧化碳注入超纯水
中,使其电阻系数降低到1MΩ-cm前后之装置。
超纯水因是高电阻系数,因流动或摩擦而会带静
电。此一去静电之超纯水是使用于晶圆切割
(dicing)工程之冷却喷水。
是指含于超纯水内之沾染物质(contaminant)数,
是由无机微粒子及微生物粒子等所形成。亦指被
隔离过滤器所捕集,而使用光学显微镜或电子显
微镜来计数之方法。或以装设在制程上微生物计
数器(bacteria counter),直接加以计数等方法。
其所求得之微生物数以各/ml之单位表之.
是指将含于一次纯水或超纯水内之细菌类,以平
板培养法或隔膜过滤法,求得其所形成之集合数,
而以个数表之.对于微量微生物有机体之测试,可
使用后者.其表示单位依处理溶液量,可用个/ml,
或个/100ml等.
是专指有机碳谓之.若对有机物之氧化效率加以
考量时,即是指总氧化性碳.含于超纯水中之总有
机碳(TOC),有起因于原料水(天然水或回收处理
水)者,或起因于离子交换树脂,从配管等使用器材
所溶出者.这些TOC,可以靠RO,UF装置,真空除氧
塔(vacuum degassifier),离子交换树脂,活性炭
塔,UV氧化装置等加以去除.其单位可以碳浓度
(ugc/l)来表之.
所谓二氧化矽,是指离子状二氧化矽,溶存及胶质
(colloid)状二氧化矽,及全部二氧化矽之总称,其浓
度经由吸光光度法来测试,任何一种均可换算成
二氧化矽(ug/l)来表示之。针对水处理装置之设计,
有必要注意到被处理水中之SiO
2
含有率,而SiO
2
含有率可以次试表示之.
G1314 Number of particles
微粒子数
G1315 Number of micro organisms
微生物有机体数
Number of bacteria
细菌数
G1316 Total organic carbon
总有机碳
G1317 Silica
二氧化矽
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指溶解于水中之分子状氧气.就超纯水制造装
置而言,是经由真空除氧塔或氮气起泡除氧气加
以除氧,为防止氧气之再溶解,将氮气封入纯水槽
内.其溶解氧浓度之测试,有文可勒法,隔膜电解法
等,亦有简易之检测管比色法.其单位以ug/l表之.
是指超纯水装置未能去除,而以微量溶解于超纯
水中,诸如Na,K,Fe,Cu,Zn等阳离子及Cl等阴离
子.就离子量而言,可求得ppt层级之分析下限值,
对于阳离子之测试,可使用无火焰(flameless)原子
吸光法及感光耦合等离子体质谱分光计(ICP-MS)
等,对于阴离子之测试,可使用离子色层谱(ion
chromatograph)法.
是测试溶解于水中之有导电性物质,以所得电传
导电之倒算(MΩ-cm在25˚C),被要求有接近于理
论纯水(约18.25MΩ-cm)之值.
在晶圆处理工程中为洗涤目的,以取水场所配管
从次系统所引道之超纯水,其使用之取水场所.
G1318 Dissolved oxygen
溶解氧
G1319 Trace level ion
示综级(微量)离子
G1320 Resistivity
电阻系数
G1321 Point of use
使用点,取水场所
G1322 Distribution piping for ultra pure 是指从次系统输水至取水场所,再连接回到次系
water 统之配管.配管上安装有流量计, 力计及电阻系数
超纯水配管线 计等感测器以及控制器. 流量, 力及温度都受到
控制. 维持水质, 得有滞流部分及要有适当之流
速. 常都使用如图示之逆向回流方式. 一方式,
谋维持超纯水之水质, 供应管路与回流管路加以
区别, 止其逆流.
G1323 Piping materials for ultrapure 系指为不致于降低超纯水之水质,而能将超纯水
water 输送到取水场所之管路材质.该材质必需管内侧
超纯水管路材质 要平滑, 不会释出无机物或有机物.就使用材质而
言, 都使用硬质聚氯乙烯, 聚丙烯, 素树脂等树
脂材料.有时亦可考量使用经过特 殊表面处理之
金属管路.
G1324 Pipeline jointing technique
管线连接技术
就树脂管线之连线方法而言,有经由接合剂之连
线,及经由热熔接之连接,对后者可分类成管座式
热熔接之连接有成为主流之趋势,更进一步有改
进到管内侧更平滑之对接式热熔接之倾向
系指为要杀死在超纯水装置内所繁殖之细菌,所
进行之保养作业.就细菌方法而言,有经由使用
数%之过氧化氢(H
2
O
2
)之药剂杀菌法,与利用热交
换器,将超纯水加热至80-90
O
C之热水杀菌法,以
及连续注入40-50ug/l臭氧(ozone)之臭氧杀菌法.
杀菌系指杀死微生物谓之.而减菌系指从物质将
所有微生物加以扑杀或去除谓之.
G1325 Sterilization
杀菌
Pasteurization
灭菌
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指将超纯水加以电解,可以制作高氧化还原电
位(ORP)之阳极水,与低ORP之装置.据闻阳极水
对去除晶圆表面之金属,阴极水对去除微粒子很
有效.
G1326 Electrolytic ionized water
(EIW)production equipment
电解离子化水生产设备
1.4 废水处理装置用语
编号 用语(英文/中文) 用 语 说 明
G1401 Waste water treatment equipment 是指工厂取水场所使用过之排放水中,将要排放
废水处理设备 到工厂外废水中之有害物质,污浊物质,加以去除
或分解处理之设备.
G1402 Waste water reclamation 是指将工厂取水场所排放之废水中污浊较少,浓
equipment 度较稀之废水加以回收,再利用为目的之处理设
废水回收处理设备 备.此一设备由可以去除无机离子之离子交换装
置,逆渗透装置,可以去除有机物之活性碳吸附塔,
紫外线氧化装置等所构成.
G1403 Closed system
封闭系统
是指将工厂取水场所用过废水全部加以回收处理
后,作为工厂没有排放水之废水循环再利用系统.
废水中之有害物质,由离子交换装置,逆渗透装置,
蒸发浓度装置加以分离浓缩后,其浓缩液被干燥
后挤压成球状,片状,或渗入水泥,柏油加以固化后,
装桶处理。
是指处理国水废水加以排放之前,或将废水加以
凝聚沉淀处理,活性污泥处理之前,对废水之PH加
以控制或调整之装置.依目的添加算液或碱液来
控制或调整PH值.由搅拌设备,药品注入设备,PH
控制设备等所构成。
是将离子交换装置之还原所使用浓酸,浓碱等废
液,加以中和处理之槽,此一设备通常包含搅拌混
合装置,PH调节装置及中和添加装置。
是指随着时间之变动,将废水之水质,流量,加以均
匀化所设备之废水处理暂时储存槽。
是指在废水处理装置之最终段,为要监视查验处
理水是否适合于河川废水排放基准,所设置之废
水滞留槽。
是利用臭氧,氯气等氧化剂之氧化力,或次硫酸钠
等还原剂之还原力,将废水中之污浊物质,加以分
解使用变成无害之装置.
是指将废水中之有机物,以紫外线加以照射,使其
分解处理之装置。可并用过氧化氢,次压氯酸苏
打及臭氧作为氧化剂,至于紫外线之产生,
可使用高压水银灯(by high pressure mercury
lamp)。其大部分有机物质可分解到CO
2
为止。
G1404 PH control tank
PH调整槽
G1405 Regeneration waste water
neutralizing equipment
废水还原中和装置
G1406 Waste water equipment
废水均衡槽
G1407 Monitor tank
监视槽
G1408 Oxidation reduction equipment
氧化还原设备
G1409 Ultraviolet ray oxidation
Equipment
紫外线氧化设备
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
G1410 Hydro peroxide
equipment
过氧化氢排除设备
G1412 Dryer
干燥器
removal 指将废水中所含过氧化氢,加以分解去除之装置.
就处理法而言,有活性碳吸附塔,重金属触煤反应
塔,还原剂注入法,酵素注入法等.
指将废水处理所产生污泥,经由加热使其水分蒸
发之装置.设法将污泥之水份及容积加以减少,其
目的在于使搬运及最终处分较为容易,或使焚化
处理较为容易之处理装置.此一干燥方式,及旋转
窑(rotary kiln)方式等.
指将有机污泥及有机系浓缩废液,经由焚化将有
机物及水份加以去除,藉以达到减容及物质之安
定化为目的之装置.其炉之构造,有多段焚化方式,
流动层方式喷射焚化方式等.
是为要将废水处理中经由沉淀槽排放之污泥,进
一步加以浓缩所设置之槽.该槽可利用重力下沉,
将堆积在槽底之污泥,以靶极加以收集.
是将木炭(charcoal),椰子壳,煤等作为原料,且填充
施加经特殊处理之粒子活性碳藉以提高对气体或
对色素有吸附力之塔.将废水流通过此塔,可将废
水中之有机物,色素,过氧化氢加以去除.
G1413 Incineration equipment
焚化炉
G1415 Sludge thickener
污泥浓缩槽
G1417 Activated carbon(charcoal)filter
活性碳吸附塔
G1423 Grinding waste water treatment 是指包括经由研磨或切割晶圆,所产生微细粒子
equipment 之废水,加以处理之装置.此一装置有经由添加凝
研磨废水用处理设备 聚剂使之凝聚沉淀分离,及藉以回收水为目的之
膜分离等方法.
G1424 Fluorine waste water treatment 将含有HF,NHF等氟素之废水,加以处理且可去除
equipment 氟素之装置.此一装置有经由添加钙(Ca)而产生不
氟素废水处理设备 溶性钙化合物之沉淀法,经由添加铝化盐等,藉以
吸附金属凝聚生成物之沉淀法,及有高度处理功
能之螯型树脂吸附法.
G1425 Heavy metal waste
treatment equipment
重金属废水处理设备
water 是从含有重金属之废水,将重金属在酸性溶剂中
系以离子,氰基(cyan),或螯型化合物之共存下,溶
解成错离子.其处理法有碱性凝聚沉淀法,离子交
换树脂吸附法,螯型树脂吸附法等.
G1429 CMP waste water treatment 是指可处理从CMP制程所产生包括有微粒子废
equipment 水之装置.此一装置大略可分成氧化膜制程系,与
化学机械抛光废水处理设备 金属布线制程系2种类,可使用凝聚沉淀或膜分离
等方法.
精品文档
精品文档
1.5 冷却水用语
编号 用语(英文/中文) 用 语 说 明
是有冷却塔,热交换塔,输水泵及管路设备等所构
成,是使用冷凝水来冷却半导体制造装置,空气及
水等设备.其输水方式有密闭循环式,开放循环式
及流通式等.
若将水与低温之空气接触时,一部份之水将会蒸
发,是指利用此一从水剥夺其蒸发潜热之现象,藉
以降低水温度之装置.此一装置有将冷却水本身
与空气直接接触蒸发之开放型冷却水管圈,与外
界之喷洒水及空气接触蒸发之密闭型冷却塔.
是指流体不致互相混合,流通相隔管壁,热量有此
一流体传达至其他流体之装置.一般而言,其相隔
管壁为金属,而制成管状之管壳型热交换器,及作
为平板状之平板型热交换器.除了冷却水系统所
有之水---水外,有空调系统所有之蒸汽—水,及空
气—水等之热交换.
溶解于冷却水中之难溶性盐类被浓缩成为固态
状,而附着在热交换器或管路内壁者,称为水垢
(scale),在此是指为抑制此一水垢形成之药品.水
垢大都属于碳酸钙及二氧化矽,而抑制剂有丙烯
系聚合体(polymer)等.
是指为防止冷却水装置金属材料之腐蚀,所使用
之药品。此种药品有磷酸盐,膦酸基(phosphone
acid)盐,碳基盐 (carboxylic acid)系聚合物等。
G1501 Condensing water system
冷凝水系统
Cooling water system
冷却水系统
G1506 Cooling tower
冷却塔
G1507 Heat exchanger
热交换器
G1509 Scale inhibitors
水垢抑制剂
G1510 Corrosion inhibitors
腐蚀抑制剂
1.6 水质分析器用语
编号 用语(英文/中文) 用 语 说 明
是指可利用内建有温度感测器之一对金属电极,
藉以测试溶液电阻之仪器,因水分子之电离或电
解质离子等,具有温度依存性,通常须换算到25
ºC之换算值,而以MΩcm表之。一般被使用者
可测试到0.01 MΩ分解力之仪器。
是指将溶解于水中之有机物加以氧化,藉以自动
测试其所产生二氧化碳(CO
2
)瓦斯之仪器。在
实验室可采用湿式、干式之各种氧化方法,非分
散红外线吸收(NDIR)法,及电导率法等各测试方
式之组合。制程线上可使用UV氧化-电导率法,
及经由氧化剂之湿式氧化法。
是为监测工厂排水中所含氟化物离子浓度之仪
器。对试料水添加PH调整剂,由固体电极法加
以检测。
G1601 Resistivity meter
电阻系数计
G1603
Total organic carbon analyzer
总有机碳分析仪
Total oxidizer carbon analyzer
总氧化碳分析仪
G1604 Fluoride ion monitor
氟化物离子监测器
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指可自动加以测试超纯水中之微量离子状二氧
化矽之仪器。是将经由鉬酸氨与酒石酸等之有机
物,及1-氨基(1-amino),2-萘西甲基
(naphthal),4-sulfone酸间之反应所产生矽钼
酸蓝(silicomolybdic acid blue),或经由鉬酸氨与
硫酸,以及氢氧化钠间反应所长生之矽鉬酸黄
(silicomolybdic acid yellow)之发色,当作吸光
度来测试。其测试范围在0-10∽50μg/sio
2
/l。
超纯水中之杂物,虽按微粒子数、电阻系数、TQC、
二氧化矽个别加以测试,在此是指对这些杂质之
总量,当作不挥发残渣加以测试之分析仪,将超
纯水加以喷成雾状使之变成汽化,而将水中所含
粒状物质及溶解物质,当作微粒子析出在清净空
气中,将该微粒子经由光学仪器,加以测试及统
计处理,而将超纯水中之杂质浓度以ppb单位来
表之。
是使用于自动微粒计测器校正用之标准微粒。是
一很接近单分散粒径之微粒,是聚苯乙烯乳状之
简称。目前市面上有各种粒径之标准微粒出售可
用于液体微粒计数器,光散射式计数器,及表面
附者微粒测试器等之校正。
G1605 Silica analyzer
而氧化矽分析仪
G1606 Non-volatile residue analyzer
不挥发残渣分析仪
G1610 Standard particle
标准微粒
G.2 药剂用语
2.1 药剂供应装置用语
编号 用语(英文/中文) 用 语 说 明
G2101 Automatic chemical supply (dispense) 是将半导制程所使用药品,自动供应制造装
system 置。该药品供应系统由洁小室(clean booth)
自动药剂供应系统 及过滤器所构成,至于该大型供应装置,进
一步有附设储存槽,供应槽及阀单元等设备。
G2102 Recirulation fiteration system
循环过滤系统
G2103 Chemical distribution piping
药剂分配管路
是以去除含于药品中之微粒子为目的,将槽
内或洗涤槽之药品,边以输泵加以循环,边
以过滤器加以过滤之系统。
是指将半导体制造用药,从供应装置输送使
用场所之管路。为抑制金属杂质之析出,微
粒子之产生,或经由药品之腐蚀,容剂系药
品要使不锈钢管,酸液、碱液系药品通常需
使用PFA(tetra fluoroethylene perfluoro
alkylvinyl ether共聚合体)管路。
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
ctor/quick .disconnecting 是指一碰即可接紧脱落之管路连接头。是安
coupling 装在药品容器之嘴部,或化学液体或汽油运
快速接头 输车(tank lorry)等蛇管(hose)末端,可
促使药剂之接收或供应时之管连接作业更快
速又安全。
G2105 Air chamber
空气室
Accumulator
蓄压气,储能器
Air chamber
空气室
G2106 Electronic chemicals
电子工业用药品
是可减管路内液脈动之管组件,被管路本体
内部之空气室、隔膜或所隔离之空气室可当
空气垫(air cushion)动作,藉以吸收压力变
动之减震器。此一减震器通安装在输送泵之
出口侧管路,作为过滤器之保护,使取用场
所维持在一定之药剂供应压力。
是晶圆洗涤、蚀刻、显影等湿式制程(wet
process),所使用高纯度药品之总称。是可影
响半导体元件之合格率,及能促使金属杂质
或微粒子大幅减少之药品。
是指将电子工业药品交货给半导体制造工厂
时所使用之输送容器。其使用方式有同一容
器反复使用之链环(link)方式,及每一次使用
新容器之单程(one way)方式,通常以采用前
一方式者较多。就材质而言,容剂系药品都
使用玻璃或不锈钢容器,而酸液、碱液系药
剂都使用聚乙烯,PFA、PTFE(polytetra
fluorothyene)等容器。
是将洗涤、剥离等制程所使用硫酸、过氧化
氢之混合废液加以蒸馏,仅回收硫酸且加以
精制之装置。回收之精制硫酸可利用于湿式
制程。此一装置有常压蒸馏法与减压蒸馏法。
是将蚀刻、洗涤等制程所使用浓厚氢氟酸废
液,经与粒状碳酸钙接触,变成氟化钙加以
回收之系统。其反应式为
2HF+CaCO
3
=CaF
2
+CO
2
+H
2
O,被回收之氟化
钙,可再使用于氢氟酸之制造原料。
是将洗涤、干燥等制程所使用乙丙醇
(isopropyl alcohol)加以回收,经脱水、蒸
馏、过滤等处理,可精制到合乎再循环使用
纯度之装置。
G2107 Chemical container
药品溶剂
G2108 Sulfuric acid reprocessor
硫酸再处理器
G2109 Hydrofluoric acid regenerator
氢氟酸再生器
G2110 Isopropyl alcohol reprecessor
异丙醇再处理器
G2111 Automatic chemical dilution(mixing) 是指可经由超纯水稀释,或将2种以上之药
equipment 剂自动加以混合,调配到一定浓度或一定配
自动药剂释(混合)设备 合比之装置。此一装置主要使用于洗涤液,
显影液,蚀刻液等制造。对药品消耗量很多
之半导体制程而言,有降低成本之优点。请
参阅D9155说明。
精品文档
精品文档
2.2 药品分析仪器用语
编号 用语(英文/中文) 用 语 说 明
G2201 Inductively coupled plasma mass 是将溶液中所含ppt级之微量金属,对多种元
spectrometer 素可同时加以分析之分析仪。将试料送至感
感应耦合等离子体质量分光计 应耦合人等离子体(ICP),经加热至高温使
之成为蒸发离子化后,经由四重极质量滤光
器,依测试元素之质量别加以检测。就目前
而言,可测试到次ppt层级高分解能力之二重
收敛型ICP-HR-MS,被加以使用。
G2202 Chemical reagent analyzer
化学试剂浓度分析仪
是将蚀刻液之成份浓度,加以自动测试分析
之仪器。就其测试成份而言,可同时对过氧
化氢与硫酸,及过氧化氢与氨加以测试。原
理上可使用近红外线吸收法,与点滴法。
是对晶圆洗涤用超纯水所稀释氢氟酸之浓
度,加以监视之监测器。其测试方法,是使
用以氟化树脂塑膜之电磁感应式电导率电极
加以监测。其测试范围有可测到0~5%者。氟
化树脂使用灰尘产生量较小之PFA
(perfluoro alkyl vinyl ether)树脂。
是指可将显影或蚀刻液之成分浓度,自动地
加以测试之分析装置。就其测试成分而言,
可同时测试四甲基氨氢氧化物(tetra methyl
ammonium hydroxide)浓度,硝酸与盐酸,
硝酸与磷酸等,因而可使用电导率(electric
conductivity)法,超音波法,近红外线法,
点滴法等。
G2203 Hydrogen fluoride monitor
氢氟化物监测器
G2204 Chemical concentration meter
药剂浓度计
G.3 瓦斯用语
3.1 半导体用瓦斯用语
编号 用语(英文/中文) 用 语 说 明
是指制造半导体所使用之清除(purge)气体、
载运(carrier)气体及氧化用等气体。是指
N
2
,O
2
,H
2
及Ar等气体,与特殊材料比较
被大量消耗使用。
是指使用于半导体制造上所使用特种材料瓦
斯之浓度调整用气体。特殊材料瓦斯若为气
体时用作稀释用。若是液体或固体时作用作
汽化及混合之用。载运瓦斯有N
2
,H
2
,Ar及
He等。
G3101 Bulk gas
大量消耗瓦斯
G3102 Carrier gas
运载气体
精品文档
精品文档
3.2 瓦斯供应系统用语
编号 用语(英文/中文) 用 语 说 明
是针对半导体制造装置,能将各种材料瓦斯
之供应量,维持在设定值之装置。以控制压
力及流量为主,进一步有时也可进行瓦斯之
混合。此一控制装置是将质量流量控制器
(mass flow controller),切换阀,调压器,半
导体压力感测器,及过滤器等安装在现场控
制盘或控制箱上。
是将特殊材料瓦斯连接到载运气体,籍以稀
释到一定浓度之装置。经由利用质量流量控
制器与积体化阀,可将100%之瓦斯稀释
到1ppm之精密瓦斯稀释装置,也已被研发
完成。
是将瓦斯中所含残留杂质浓度,提纯到ppt
层次之装置。对于氢气之精制,有使用钯镉
之钯镉膜氢气提纯器,与低温吸附式两种。
对非活性瓦斯而言,有合金吸气器式与触媒
吸附剂方式。
是将构成瓦斯供应系统不同功能之多数组
件,积体化在一个方块者。例如有将全金属
调节器,断流阀与过滤器搭配在一起者。
是为填充高压瓦斯之容器。其内容积多半为
10~17l程度之容器,其容器之材质有锰钢,
铝或不锈钢被加以使用。来自容器之瓦斯抽
出,是以附属于容器之阀来加以进行。
当瓦斯检漏器检测到瓦斯泄漏时,能将设置
在圆筒状容器(cylinder cabinet)或瓦斯供应
装置之本断流阀加以关闭,使瓦斯之供应加
以中断之控制阀。
是指设置在半导体制造装置之入口,或瓦斯
供应装置之出口,籍以捕捉去除半导体制造
用瓦斯中微粒之精密过滤器。也有可以清除
以前曾经成为问题,是来自隔膜过滤器之水
分,及氢化碳为中心之排气污染等不锈钢过
滤器。
是将多种半导体制造用瓦斯之供应,自动加
以执行之质量流量控制器。此一控制器由感
测器,控制阀及控制电路所构成。
G3205 Gas suppling system
瓦斯供应系统
G3206 Gas dilution system
瓦斯稀释系统
G3207 Gas purifier
瓦斯提纯器
G3209 Integrated components
积体组件
G3212 Cylinder
圆筒瓦斯钢瓶
G3215 Emergency stop valve
紧急断流阀
G3217 In-line gas filter
管路上瓦斯过滤器
G3220 Mass flow controller
质量流量控制器
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是可将瓦斯之流量自动加以量测之仪器。对
系统在金属毛细管外侧之二个自个发热电阻
体间,流通流体瓦斯时,随着流通瓦斯之质
量流量产生温度差,而以电流值被检测出来。
此一检测法压力损失既少精确度又高。若将
控制阀及电气电路装配在一起,即能构成可
自动供应半导体制造瓦斯之质量流量控制器
(mass flow controller)。
是指设置在瓦斯管路上,经由背压阀体能防
止流体瓦斯之逆流而动作之特殊阀。将二种
以上之半导体制造用瓦斯混合使用时,为防
范其混合爆炸,及防止有毒瓦斯或有 腐蚀性
瓦斯逆流事故于未然为目的所使用者。
是指连接瓦斯管路接头之管件。可将对外界
-
泄漏抑压在2x10
11
torr.l
1
sec以下之检测界
限。若采用轴承(bearing)式螺帽(nut),可防止
连接部之摩擦运动以及管路扭曲。此一型管
件有MCG管件及JSK管件。此外,针对外
界应力为防止对外界泄漏,亦有将垫圈部位
之死区(dead space)完全取消之UPG管件。
G3221 Mass flow meter
质量流量计
G3222 Check valve
止回阀
G3224 Fitting
管路接头,管件
3.3 压缩空气装置用语
编号 用语(英文/中文) 用 语 说 明
是供应各种制造装置之空气阀或气缸等驱动
用或制程用干燥空气。将被压缩机压缩之空
气中油雾,水份及高沸点碳化氢等杂质,使
用吸附筒加以精制者。
是指将空气等气体加以压缩,使之升压之机
械。就种类而言,有往复(reciprocating)式,
涡轮(turbo)式,螺旋桨(screw)式等。就半
导体制造而言,通常都使用无油气规格之压
缩机。
是将气体中之水份,依物理或化学性加以吸
附之干燥机。就种类而言,有分子簺滤
(molecular sieve)方式,与五氧化磷方式。
此外,尚有利用低温将水份加以凝缩去除之
冷却式干燥机。
G3301 Dry air
干燥空气
G3302 Compressor
压缩机
G3303 Absorption dryer
吸附式干燥机
精品文档
精品文档
3.4 废气处理装置用语
编号 用语(英文/中文) 用 语 说 明
G3401 Exhaust gas abatement equipment for 是指从各种CVD,离子注入,蚀刻等半导体
process 制程装置所排放之有害瓦斯,加以无害化处
制程排气处理设备 理之装置。其处理方式有吸附式或燃烧式等。
G3402 Exhaust gas abatement equipment for 是指可将圆筒状容器(cylindercabinet)或特
emergency 种材料瓦斯处置场所,在紧急时所排放之大
紧急排气处理设备 量瓦斯,加以安全处理之装置。此一装置在
平常时加以旁通排气,紧急时将紧急风门
(damper)动作,经除害装置处理后加排放。
G3404 Dry type exhaust gas abatement 是指使用不需要水分补给之吸附材料,可将
equipment 从半导体制程装置所排放之有害瓦斯,加以
干式排气处理设备 无害化处理之装置。由于不需要水份而称为
干式。有时焚化式或分解式亦称为干式。
G3405 Semi dry type exhaust gas abatement 是指使用需要水分补给之吸附材料,可将从
equipment 半导体制造装置等所排放之有害瓦斯,加以
半干式排气处理设备 无害化处理之装置。虽使用与干式同一吸附
材料,由于需要水份因而称为半干式。
G3406 Wet type exhaust gas abatement 是指将从半导体制造装置所排放之有害瓦
equipment 斯,使用药液或水加以无害化处理之装置。
湿式排气处理设备 由于使用液体因而称为湿式。填充塔或喷水
塔等擦洗器,或气泡塔等当属此一方式。
G3407 Exhaust gas abatement equipment by 是指将从半导体制造装置所排放之有害瓦
decomposition process 斯,经由热分解或等离子体(plasma)分解,
分解式排气处理设备 加以无害化处理之装置。就现状而言,等离
子体分解方式尚无法将有害瓦斯,处理到容
许温度以下之无害化等问题存在。
G3408 Exhaust gas abatement equipment by 是指以吸附材料将排气中有害瓦斯,加以去
absorption process 除之装置。吸附材料之种类有活性炭,分子
吸附式制程排气处理设备 筛滤(molecular sieve)活性氧化铝及特殊药
剂添加吸附剂等。
G3409 Scrubber
瓦斯擦洗器
是指将瓦斯中之尘埃,雾气,有害瓦斯及恶
臭等,以水或药液加以清除之装置。因处理
方式之不同,有内建有为扩大瓦斯与液体间
接触面积之充填式,在多孔板上形成水膜再
流通瓦斯之多孔板式。此外,尚有喷溅式及
汾丘里管(venturi-tube)式。
是将圆筒状容器(cylinder cabinet)或装置真
空排气泵等,在可预测特种材料瓦斯洩漏等
场所,以吹风机等经常减压加以排气之系统。
特别有关特种高压瓦斯用桶状容器,依规定
必须设置此一系统。湿式洗涤站等亦使用此
一排气系统。
G3410 Exhaust system
排气系统
精品文档
精品文档
3.5 瓦斯分析仪器用语
编号 用语(英文/中文) 用 语 说 明
是指对臭氧洗涤或臭氧氧化用所供应瓦斯中
之臭氧浓度分析,或对来自紫外线灯附近所
产生臭氧之浓度,加以执行管理之监测器。
其测试原理有紫外线吸收法,及隔膜式极谱
仪(polarograph)法。此外,对晶圆洗涤所
用超纯水中之微量臭氧浓度管理亦可使用。
是对N2,Ar或H2等气体内所含微量之杂质,
可连续加以测试之分析仪器。可用于对CO,
CO2,O2,H2O,THC等气体之杂质加以监
控。其测试原理有气体色层分析法(gas
chromatography),非色散型红外线吸收法,
光散射法等加以搭配使用。
G3507 Ozone monitor
臭氧监测器
G3508 Trace gas montitor
气体追踪监测器
G.4 洁净室(clean room)用语
4.1 洁净室设备用语
编号 用语(英文/中文) 用 语 说 明
是指实行污染控制之空间。此一空间其飘浮
于空气中之微小粒必须管理在限定洁净度层
级以下。此外,对于供应于此一空间之材料、
药品、水等亦被要求得保持洁净,有必要时
连对温度,湿度及压力等环境条件,亦要实
行管理。
是指由超低贯穿空气过滤器(ULPA filter)等
构成,空气从天花板全面流入室内,而由相
对之地面排气口全面流出之房间,其垂直有
层流流动形成之洁净室。在广阔之空间可以
获得最高洁净度。
是指由超低贯穿空气过滤器等构成,空气从
墙壁之一侧全面流入室内,而由相对另一侧
墙壁全面流出之房间,其水平有层流流动形
式之洁净室,愈下方层流其洁净度愈低。
是指气流图样与流速都不一样之洁净室。漂
浮在室内空气中之微粒,由天花板或设在一
部墙壁上之送气口,供给新鲜空气来加以稀
释,而由吸气口排出室外。此一型过滤器方
式可使用洁净度层级6以下之场合。
G4101 Clean room
洁净室
G4102 Vertical laminar flow clean room
垂直层流洁净室
Downflow clean room
往下流动洁净室
G4103 Horizontal laminar flow clean room
水平层流洁净室
Cross flow clean room
正交流动洁净室
G4104 Non-laminar flow clean room
非层流洁净室
Turbulent flow clean room
乱流型洁净室
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指对某一空间流通层流型与非层流型混合
形式之洁净室。例如在生产线上虽采用层流
型以确保高洁净度,其他如走道或办公或工
作区域,即采用非层流型通风,藉以图谋设
备费用或运转费用之下降。
为防止晶圆之被污染,是将制造装置间,制
造装置与洁净输送盒(clean stocker)间等,
高洁净空间以管状输送制程围绕起来之系
统。具有经由局部洁净化之省能源等优点。
是设于洁净室内之一部,而由ULPA过滤器
所构成且具有天花板面之隧道型垂直层流通
道。洁净空气将隧道内当作垂直层流通道后,
通过前面之作业通路,或后面之办公工作区
域再加以循环。
是指在额定风量针对粒径为0.3μm之微粒,
具有99.97%以上之微粒捕获绿2,而且就一
般而言,其初期压力损失具有300Pa
(30mmH
2
O)以下性能之空气过滤器。HEPA
过滤器通常使用在洁净室供气之最终阶段。
G4105 Mixed flow clean room
混流型洁净室
G4106 Clean tube system
洁净输送管系统
G4107 Clean tunnel洁净隧道
G4109 High efficiency particulate
高效率微粒空气过滤器
G4110 Medium efficiency particulate air 主要是指针对较5μm为小之微粒,具有中程
filter中效率微粒空气过滤器 度威力捕捉率之空气过滤器。一般而言,是
配置在主空气过滤器与前置过滤器之间。主
要用作辅助主空气过滤器功能之中间过滤
器。
Coarse particle air filter
粗粒子空气过滤器
主要是指用作去除大于5μm之粒子空气过滤
器。一般而言,是用作设置在空气流最上游
之前置过滤器,经过清洗亦有可再使用之种
类。
是以去除空气中只气体状污染物为目的之空
气洁净装置。此一装置有将气体污染物经由
吸附,加以去除之吸附式,与经由吸收加以
去除之吸收式等。类似之用语有气体清除器
(gas remover),及化学性过滤器(chemical
filter)。
是指将漂浮在空气之微粒,经由过滤作用加
以捕捉之材料。其主有主要材料有玻璃纤维,
合成纤维,金属纤维等,此外,薄膜过滤器
亦已达到实用化。此等过滤材料可以滤布状,
滤纸状及网状等使用。
G4111
G4112 Gas purifier
气体纯化器
Gas remover
气体清除器
G4116 Filter media
过滤器媒介物,过滤材料
精品文档
精品文档
编号
G4118
用语(英文/中文)
Clean booth
洁净小屋
Clean bench洁净工作台
Clean work station
洁净工作站
清净作业台
用 语 说 明
是指有天花板垂直向下吹风之简易型洁净
室。小屋之天花板面设置有风扇过滤单元等。
可将小屋空间变成简便洁净室化,亦可移动。
是依规定将管理在洁净度层级之空气,如拟
直接流向作业对象物,所订制之工作台。此
一工作台内建有ULPA过滤器,前置过滤器,
及送风机等洁净空气供应源。此一用语有时
可称为洁净工作站。
是指将小型送风机与ULPA过滤器等装成一
体化之空气洁净装置。此一装置有天花板悬
吊型,床底固定型。原来主要虽使用在非层
流洁净室,最近已普遍使用于垂直层流洁净
室,以及洁净隧道通风上。
是指人员或器材进出漂浮微粒管理区域之
际,为保持该区域一定压力之特种机构。通
常为人物之进出在开口部之两侧设置有2扇
门,设计成无法同时开启。此一密封舱有时
可称为具有气栓功能之小室。
是指为要去除附着在工作人员或沾在衣服上
之微粒状灰尘,强制加以吹走之装置,而设
置在漂浮微粒管理区域之入口部分者。此一
装置备有狭缝口(slit)或多数空气喷嘴或排
气口,其狭缝口或喷嘴之方向可自由改变方
向。空气吹淋室通常亦设在洁净室之入口,
而且有气栓功能。
是指拟将洁净室使用之器材搬入前加以吹干
净,或作搬入准备之房间。此一准备室有空
气吹淋装置等洁净设备。
是工作人员进入洁净室之前,进行必要准备
之房间。更换衣服之场所大都具有洁净室构
造之场所,且备有空气吹淋装置。
是将以ULPA过滤器等加以净化之空气,经
由裂缝状之喷嘴喷出空气流,将房间与外界
空气加以隔离之装置。利用门扇或垂帘等加
以隔离时,生产效率会产生明显低落时使用,
有时会吸引人注目亦可考虑安装空气隔离
幕。
是在洁净度层级不同之管理区域间,或管理
区域与其他房间,为小组件或机器之搬运所
设置之开口部。基本上要具备气栓功能。
G4119
G4120 Fan filter unit
风扇过滤器单元
G4121 Air lock
密封舱,气栓
G4122 Air shower
空气吹淋室
G4123 Anteroom
准备室,休息室
G4124 Pre-cleanroom
前置洁净室
G4125 Air curtain
空气隔离幕,空气遮蔽幕
G4126 Pass box
器材搬运口
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指设置在不同气压之房间墙壁上,为能安
定维持两个房间间差压之差压调节风门。通
常使用在微小风压即能浮起摆动之板上,固
定能滑动且可设定铅坠差压之平衡型。
是为防止诸如气状污染物,灰尘,水分或热
等扩散到洁净室,而围绕在那些污染物产生
源,且具有能局部排气构造之小室。此一小
室可用在诸如蚀刻及洗涤只趁制程上。
是对针状或细线状之电极施加高压,是其引
起电晕(corona)放电,使周围之空气加以电
离化成正负离子,而以相反极性之离子将带
电物体表面之电荷,加以中和之装置。
是指为要产品从污染源加以隔离,而以围幕
所包围之局部洁净环境谓之。已实用化者有
SMIF-Pod及CAVS等。对半导体制造装置之
晶圆I/O部份,晶圆之输送系统等设置围幕,
形成局部之高洁净度空间。因不需要洁净室
全体之高洁净化,具有降低维持费用,节省
能源之优点。洁净管路(clean tube)方式可
以抑制在晶圆表面形成自然氧化膜。
是指依对应于制程之顺序,将制造装置依序
排列之制造装置配置法。此一配置法,输送
效率好,线性化容易。一般而言,装配制程
都采用本此一形式。
是指将同种功能之制造装置群,汇总加以配
置之制造装置配置法。因不依制程次序排列,
对于输送之点虽较不利,例如流程站制程反
覆作业较多,对于使用手法(tact)不同之装
置时,对作业性、空间之点较有利。在海湾
地形系统,对各个海湾地形虽配置同种功能
之装置群,这乃是本形式之典型配置。
是指有关洁净室配置中,进行装置与晶圆间
之授受与搬运之区域。此一区域被要求有高
洁净环境。所谓服务区域,是由分隔板
(partition panel)加以区分。
是设置半导体制造装置之区域。亦是对装置
供应电、瓦斯、水及药剂等,或设置排水、
排气等配管及槽沟等支援区域,或进行维修
作业之区域。因晶圆不会直接与此一区域之
空间接触,因而被设定在较低洁净度。
G4127 Pressure setting damper
压力调整器,调压风门
G4128 Draft chamber
通风室
G4130 Ionizer
电离器
G4134 Mini enviroment
迷你环境
G4135 Flow shop
流程站
G4136 Job shop
工作站
G4138 Process area
制程区域
G4139 Service area
服务区域
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指由HEPA过滤器,ULPA过滤器,过滤器
固定框,及照明设备等所构成之天花板全面
送风系统。此一系统主要使用于垂直层流型
洁净室,可获得没有微粒泄漏以及吸引人之
构造。
是指具备有低灰尘性,平滑行,耐蚀性,密
封性,低带电性等特性之洁净室悬挂式送风
盘。此一送风盘是使用于非层流型或水平层
流型洁净室,而垂直层流型洁净室即使用天
花板过虑系统。
是指具备有低灰尘性,平滑行,耐蚀性,低
带电性等特性之洁净室隔板、或隔间用壁材。
因洁净室属于封闭式空间,近年来,有开放
感之器材较受欢迎。
是指将供应半导体制造装置之水、电、瓦斯
及药剂,同时亦是由制造装置所排放废气,
废水等配管管道,可设置在垫高之双重地板
构造。将双重地板空间当作回风道使用之案
例亦不少。
是属于垫高式地板之一种,其变重地板构造
之上层地板,可简便加以拆除之放行地板,
所购构成之地板构造。此一构造对设置在垫
高式地板下配置管道之维修至为方便。
是构成易拆装地板之钢板或不锈钢板制板条
状之吸风面板。对将成为会风西风口之开口
虽可取大一点,然而对输送用机械人之移动,
及工作人员之居住型有所不便。
是构成易拆装地板,忧铝膜铸(Aluminum die
casting)及钢板等所制成之穿孔地板吸风盘。
对将成为回风西风口之开口虽较难取大一
点,然而因尺寸精密度较高,且对工作人员
之居住性较。
是为防止洁净室清扫时之灰尘飞扬,将真空
泵,集尘装置设置在洁净室外,并且与配置
在洁净室内之吸气口间,以真空管线加以连
结之中央式真空洁净系统。
是由油旋转泵,干燥泵等真空泵,真空槽及
配管等设备所构成,在此专指对真空消钳子
(pincette),制造装置供应低真空之设备。对
形成薄膜,蚀刻制程等所需要之高真空,即
单独由设在制造装置之分子泵,低温泵
(cryopump)供应之。
G4140 Filter ceiling system
天花板过滤系统
G4141 Ceiling panel
天花板悬挂式送风盘
G4142 Partition panel
分隔板
G4143 Raised floor
垫高式地板
G4144 Face access floor
易拆装,易检修地板
G4145 Grating panel
格子状吸风面板
G4146 Perforated panel
穿孔吸风面板
G4147 Central vacuum cleaning system
中央式真空洁净室系统
G4148 Process vacuum system
制程真空系统
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
是指可供应空调设备,卫生设备,公用事业
(utility)设备等冷却水,冷水,温水及蒸汽
等冷、温、热能源之设备。通常由冷却塔,
冷冻机,锅炉,热交换器,储水器,泵及配
管设备等所构成。
是将室内温度,湿度,灰尘,气体成分,臭
氧,压力,风速及气流形状等环境空气条件,
为目的配合加以调整及管理之设备。通常有
空气调节机,箱型空调机,风扇螺旋管单元
(fan-coil unit),松、排风机,管道设备等所
构成。
是为达成半导体制造装置所要求之低振动位
阶(level),而设置在振动产生侧之防振设备,
及振动接收侧之除振设备。就曝光装置等微
细加工制程,以及SEM等检查工程而言,对
4Hz程度之低频领域为止之对策是有必要的。
是为确保在地震时之安全,及防止设备之破
坏,所设置之耐震装置。因成为对象设备之
设置条件(地域,设置位階,重要度及危险
度)之不同,其所采取对策亦迥异,对于设
备在易拆装易检修地板之设备,有特别加以
留意之必要。
是为节省电、燃料、水等能源消耗,能谋求
对热能回收,空气泄漏量之减少,输送动力
之降低,设备容量之最妥适化,机器之高效
率化等谋求对策之设备。这些对省能源之投
资,不仅能降低维持费用(running cost)亦
是对社会善尽一分义务。
是指将生产用,废气,废水等所排放之热能,
以热交换器等加以回收,而再度利用于冷却、
加热等之省能源设备。
是指粒径很常小粒子,一般而言,漂浮在空
气或液体中时,不易下沉而在10um程度以下
之粒子。其英文同义词有corpuscle一字。
是可当作对象空间之洁净程度。是以一定容
积之空气中,所含不同大小之粒子污染物数
表之。此外被定级之洁净程度,以洁净度位
階(level)表之。
G4149 Energy plant
能源工场
G4150 Air conditioning system
空调系统
G4151 Anti-vibraton device
抗振动装置
G4152 Earthquake resisting device
耐震装置
G4153 Energy saving system
省能源系统
G4154 Heat recovery system
热回收系统
G4155 Particulate/small
particle/corpuscle
微粒
G4156 Cleanliness
洁净度
Cleanliness level
洁净度位階
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系将洁净度位阶按等区分加以表达者.在日本
系在JISB 9920(在洁净室中漂浮微粒之浓度
测试方法)中加以规范,而就1m
3
空气中所
含粒径在0.1μm以上微粒数,而以10之幂
次方所表指数表之。或就美国联邦规格209E
而言,系指在1ft
3
之空气中所含粒徑在0.5μ
m以上之微粒數,或在1m
3
空氣中所含粒徑
在0.5μm以上微粒數或該冪指數,當作潔淨
度等級表之。習慣上多以1ft
3
中,所指定粒
徑以之微粒數表之
係在潔淨室内所能考量之最大量污染物闖入
或產生時,其潔淨度恢復到潔淨度位階所需
要之時間。類似之用語有潔淨度下降可能性。
系指被当作对象物体之表面,受到微粒污染
者,或被污染之状态。对漂浮微粒会吸附物
体表面,虽会受静电力(库仑力),重力,布
朗( brown)扩散等干预。其径粒在2μm以
下之微粒全然受到静电力之干预。
系指洁净空气,晶圆表面等,受到金属微粒
或金属离子污染者,或被污染之状态。
系指洁净空气,晶圆表面等,受到化学药剂
等之瓦斯,雾(mist)或烟雾(fume)等污染
物,或被污染之状态。此一化学污染,不仅
要留意生产面,连针对工作人员之卫生面亦
必须加以考量关照,其散到洁净室以前,必
须采取局部对策。
系有关层流型洁净室中,形成层流之气体速
度。此一气流速度需考量微粒污染物之排放
能力,热气流之影响下降,及省能源等,一
般而言,0.25—0.5m/s之气流速度被采用之。
系指有关层流型洁净室中,形成层流气流之
直线性或平行性。在垂直层流型洁净室,若
送风室(supply chamber) 与回风室 (return
chamber)间之压力损失较大时,气流将有偏
流,有时无法获得层流。一般而言,气体偏
流角可调整在14
0
内。
系使用一束羽毛(tuft)或纯水雾(mist)籍
以看到洁净室内之空气流动者,或可看到之
状态。此一气流形象化可利用于垂直层流型
结晶使之气流调整,制造装置之形状或配置
决定等。
G4157 Cleanliness class
洁净度等级
G4158 Cleanliness recovery characteristic
洁净度恢复特性
Clean down capability
洁净度下降可能性
G4162 Surface contamination by particle
微粒附着表面污染
G4163 Metal contamination
金属污染
G4164 Chemical contamination
化学污染
G4165 Airflow velocity
气流速度
G4166 Airflow parallelism
气流平行度
G4167 Airflow visualization
气流形象化
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系以去除空气中的气体污染物为目的的过滤
器。此一过滤器主要用在吸取外来气体及排
气处理,而使用各种有特色的吸附剂。就近
年被注目的洁净室循环空气过滤器而言,对
有机性气体之吸附使用活性炭,无机性气体
使用离子交换树脂及赋活活性碳。
系指素材内不含硼的过滤器。通常以硼矽玻
璃(boron silicate glass)纤维为过滤材料的
HEPA,ULPA过滤器,因会缓缓释放而成为电
晶体特性参差不齐的原因之一。因而研发了
不含有硼之PTFE薄膜,或石英玻璃纤维等
作为过滤材料之过滤器,就广义而言,对硼
矽玻璃纤维涂敷一层薄膜,籍以抑制硼释放
的过滤器,亦可以称为无硼过滤器。
系指作为调整洁净室内气流图案,遮断紫外
线为目的,从天花板下垂之幕谓之。因与分
隔板不相同,可自来往对维修作业有诸多优
点,但是,对微粒子遮断面而言,较分隔板
或廉幕为逊色。此一方式可使用与大房间方
式的洁净度领域区分。
系指内部压力较大气压为高状态之空气所充
满的空间谓之。或充满物质之空间。
系指对半导体制造装置连接电源,给水,排
水,排气等公用设施谓之。偶而亦可当作半
导体制造装置之安装使用。
系属于高洁净度空间之洁净评价法之一种。
将空气连续加以取样,若微粒子之累计个数
进入评价线图所设定合格区域时,当作已满
足所设定洁净度。评价线图可由泊松分布
(Poisson distribution)之区间推定,来决定合格
区域。
系指从材料释放气体。洁净室建材及各种制
造装置,使用各种各样之材料。经由材料长
期释放,诸如氨等无机气体及Dop等有机气
体,而对晶元制造有不良影响者,为此,对使
用材料之选择要有充分研讨之必要。
G4170 Chemical filtier
化学过滤器
G4171 Boron free filter
无硼过滤器
G4172 Eyelid
垂幕
G4173 Plenum
G4174 Hook up
G4175 Sequential sampling method
G4176 Outgassing
排气,释放气体
精品文档
精品文档
4.2 控制监视(controlling and monitoring)装置用语
编号 用语(英文/中文) 用 语 说 明
G4201 Distributed process input output 分布型设置在制程单位,为进行针对制程之输
control equipment 入输出信号处理,及控制演算除处理之装置.
分布型制程输入输出控制装置 其处理系以内建之微电脑来进行,有中央加以
集中管理,在终端实现控制,就全系统而言,可
提高可靠性与响应性.
G4202 Transmission converter
传送变换器
G4203 Temperature and humidity sensor
温度湿度感测器
系指为传送信号或量,将其变换成其他信号,
或改变其信号大小功能装置.
系将温度或湿度当作电气信号,加以检测之感
测.将测温电阻体,热电偶及热敏电阻
( thermister)多当作温度感测器使用.湿度感测
器系以使用氯化锂水溶液,图敷在湿度元件上
者为多.
系经由隔膜(diaphram)将被侧压力变成变形
量,在经由半导体应变计(strain gauge)监测变
形量,再放大到所指定输出装置。亦可经由
巴登管 (bourdon tube) 接受压力,而可检测
出巴登管(bourdon tube)变为之方向。
系指流体通过管路断面时,可测出其对时间
比例量之感测器。此一感测器有容积式和压
差式,由横切磁场之导电性流体,所感应电
压来求得之电磁式,及利用超声波传播速度
变化之超音波式。
系可检测地震之装置,若地震震动达到设定
位阶(250gal重力加速单位)时,会发出警
报。
G4205 Pressure sensor
压力感测器
G4207 Flow sensor
流量感测器
G4208 Seismometer/seismograph
地震计、地震仪
G4209 Central monitoring and control 系指从中央监控室将设备制运转状况集中加
system 以监视与控制之系统。由于集中化,可图谋
中央监控系统 监视,操作,控制及维修各功能之效率与能
力之提升,且可获得设备运用之省力,省资
源化,及安全性与可靠性之确保。近年来采
用电脑之功能分散型系统居多。
G4210 Access control
人员进出管制
为要维持洁净室内额洁净度或保持机密,对
特定人员或人数加以限定的管理。利用ID卡
与读卡机(card reader)对照后,将电子锁码解
开,或利用CCTV或对讲机加以确认的方法。
系指在洁净室内的几个特定位置,使用微粒
计数器作连续或一定时间,对飘浮微粒数加
以侦测,将洁净室洁净度作经常管理的系统。
若洁净度下降时,须要变更换气次数,或实
施进房人数的限值等对策。
G4211 Cleanliness control
洁净度管制
精品文档
精品文档
4.3 洁净室设备用语
编号 用语(英文/中文) 用 语 说 明
是使用不易起灰尘之布料,防止从工作人员
之皮或内衣等,所产生灰尘穿透过去之洁净
室用外衣。此一外衣有套服(coverall)型及上
衣下裤分开型,亦有连帽带鞋成为一体者。
G4301 Clean garments
洁净室外衣
用衣服/防尘衣
Dust free garments
无尘外衣
无尘衣
G4302 Clean glove
洁净室手套
Dust free glove
无尘手套
防尘手袋
G4303 Clean mask
洁净室口罩
防尘
Dust free mask
无尘口罩
无尘
G4304 Clean shoes
洁净室,防尘鞋
防尘靴
G4305 Ststic-dissipative shies
可放静电之防尘鞋
制电靴
G4306 Clean locker
洁净室衣物储存柜
G4307 Clean wiper
洁净室擦拭布
G4308 Clean paper
洁净室用纸
G4310 Sticky mat
洁净室用纸
G4312 Clean hand washer
洁净室洗手台
手洗器
G4313 Air towel
热风吹干器
精品文档
是为防止从工作人员脚部所产生灰尘,以不
易产生灰尘之布料制造之洁净室作业用鞋。
是可将工作人员所穿工作衣表面,所带静电
让其逃窜之导电性防尘鞋。
是指可存放无尘衣之储存柜。柜内可通过
HEPA过滤器有洁净空气循环,籍以维持高洁
净度。亦有储存柜安装有UV杀菌灯者。
是使用于洁净室,不易产生灰尘之擦拭布。
因洁净对象物之不同,其所使用材质亦可分
为多种。
是使用于洁净室,不宜产生灰尘之擦拭布。
因洁净对象物之不同,其所使用材质变可分
为多种。
是使用于洁净室内,不宜产生灰尘之纸。是
笔记或记录所用之纸。
是指可在洁净室使用之洗手台。不必手碰水
栓,而以感光操作之洗手台。
是指经由通过HEPA过滤器之洁净热风,藉
以吹干手之装置。
是指能抑制工作人员双手所产生灰尘或汗水
渗透之洁净室手套。此种手套使用材料有尼
龙(nylon),乙烯树脂(vinyl)及橡胶等。
是为防止工作人员之呼气中所含尘雾之产
生,所使用之洁净室用口罩。
精品文档
4.4 电力设备用语
编号 用语(英文/中文) 用 语 说 明
是指接受电力公司之供电,变换成各种电力
负载之不同设备。其设备由变压器,短路、
过电流保护装置二次配电用段流气等所构
成。
是指与电力公司供电有别,由业者自备之发
电设备。此一设备是以柴油引擎火器涡轮机
为原动力,启动时需要从数秒分之时间。此
一设备,是以供应消防设备或公安设备等电
力为目的的者居多。
是以石油或瓦斯为燃料,来驱动柴油引擎,
七涡轮机,或气涡轮机,变换成动力或电力,
再将其所排放热量用作暖气、热水之热能,
籍以提升其燃料之使用效率为基本考量之汽
电共生发电方式。
是指不允许有瞬间停电之负载供电装置。此
一装置是以交流变换成直流,而蓄电在蓄电
池内,再将此一直流变换成交流,籍以供应
负载之方式居多。因此一变换装置,因具有
定电压,定频率之输出特性,亦可简称为
CVCF。
是将机器之一部连接到大地同一电位之接地
埋设电极,或配线、端子箱之总称。就电力
设备而言,有第1-3种类别,其它尚有仪控
信号用,避雷用,遮蔽用,及确保公安等目
的。
G4401 Electrical transmission and
distribution systems
输配电系统
G4402 Non-utility generation facility
业者自备发电设备
G4403 Cogeneration
汽电共生发电
G4404 Uninterruptible power supply
无中断电力供应系统
G4405 Ground / earth
接地设备
4.5 环境分析仪器用语
编号 用语(英文/中文) 用 语 说 明
系能将飘浮在空气中的微粒大小与个数,连
续加以量测的机器。此一仪器系将镭射光或
百射光,照射于含有微粒的气流,而将来自
微粒的散射光,加以检测成电气信号来表示
之。
系以无方向性麦克风收集的声音,而以具有
人类耳朵频率特性的听觉补偿电路(通常具
有A,B,C的3种特性)加以近似人类耳朵所
能听觉的声音加以再现的仪器。
G4501 Particle counter
微粒计数器
Dust counter
灰尘计数器
Light scattering particle counter
灯光散射微粒计数器
G4514 Noise meter
噪音计
精品文档
精品文档
G.5 工安设施用语
编号 用语(英文/中文) 用 语 说 明
系指针对通常的火灾热度,虽多少会熔融或
产生红热,在燃烧过程并不会产生损伤,且
防火上亦不会产生有害烟雾气体的材料。除
了不可燃材料外,对应防火性能有次不可燃
材料,难燃材及较难材料。
为将火灾的延烧控制在每一防火区域,对于
有可能来自外界的延烧开口部,或在防火区
域的出入口所装设的门。为预防逃难,门必
须开向逃难方向。构造可分为甲级防火门及
乙级防火门。
系指当建筑物内发生火灾的初期阶段,能检
测因火灾所产生烟雾等燃烧成份,而以警铃
或警笛等的扩音设备,告知工作人员已经发
生火灾的设备,依次一探测器动作原理的不
同,有电离化式或光电式。
系指当建筑物内发生火灾的初期阶段,能检
测因火灾所产生高热,而以警铃或警笛等扩
音设备,告知工作人员已经发生火灾的设备。
依次一探测器动作原理不同,有到达一定温
度始会动作的定温式,到达一定温度上升率
以上即会动作的差动式,以及兼并两方式性
能的热复合式等。
系由变流器,收音机及警报器所构成,当建
筑物内的电气配线、机器设备等流出一定量
以上的泄漏电流时,会自动监测警告工作人
员的设备。
系由瓦斯泄漏警报器,中继器。收信机及警
报器构成,可用来检测可燃瓦斯,或有害瓦
斯的泄漏,而向工作人员发出警报的设备。
此一探测器有半导体式,与接触燃烧式等。
系可检测空气中的H
2
.,SiH
4,
AsH3,(arsine)等
危害瓦斯,或制程瓦斯浓度的感测器。可燃
瓦斯系以燃烧微量来测试温度变化,而对极
微量瓦斯的侦检即瓦斯色层谱术(gas
chromatography)。
系能将灾害的发生,迅速且确实的通报对象
全区域,为要能引导人员至安全区域,专指
能引导人员逃难至安全区域,专指由操作装
置,放大器,启动装置,指示灯,扩音器,
电源及配线等所构成的设备。就紧急广播外,
尚有紧急警铃及自动式警笛。
G5001 Non-combustible material
不可燃材料
G5002 Fire protecting door
防火门
G5005 Smoke detector alarm
烟雾探测警报器
G5006 Heat detector alarm
高热探测警报器
G5007 Electric leakage alarm
漏电警报器
G5008 Gas leakage detector alarm
瓦斯洩漏探测警报器
G5009 Gas concentration sensor
瓦斯浓度感测器
G5011 Emergency broadcasting system
紧急广播系统
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系当作紧急广播设备启动装置所使用的专用
电话(包括对讲机)。若将发收话机拿开不用,
即可将紧急广播设备置于广播状态。
系指当洁净室内由H
2
.,SiH
4,
AsH
3
等危害性瓦
斯、有毒性瓦斯泄漏时,为确保安全可自动
紧急动作的排气设备。特别作为圆筒状容器
(cylinder cabinet)或特殊材料瓦斯的处理场
所,备有可排除灾害设备的紧急排气处理装
置。
系指在停电时,经由预备电源以照度1 1x以
上,最低限度可供连续30 分以上避难行动的
照明设备。照明器具及配线等的耐热性,以
及预备电源的瞬间启动性、持续性都有所要
求。
系指灾害发生时,为使工作人员易避难,备
有能在停电时连续点灯20分以上的蓄电池,
可供出入口,楼梯间等避难门口,加以明示
的指示灯,原则上应设在避难门楼的顶头上。
系指灾害发生时,为使工作人员容易避难,
备有能在停电时可连续点灯20分以上的蓄电
池,除可供明示避难方向外,亦能给避难通
路地面有效照明的指示灯。此一指示灯有走
廊通路指示灯,室内通路指示灯及楼梯间通
路指示灯。
系指当火灾发生时,因燃烧的热气将排列在
天花板上灭火用喷水头的对腊加以溶解,水
靠自然落差压力自动喷出雨滴状的水,系适
合与一般火灾的固定式自动灭火设备。
当在处理化学药品的作业场所淋到药品时,
可将多量的水从头部淋浴到全身的淋浴设
备。
当化学药品等有害物质进入眼睛时,将眼睛
面向喷水口,具有洗涤眼睛的盘状冲水设备。
系在处理或产生化学药品、粉尘等作业场所,
为防止异物进入眼睛,或为保护眼睛受到高
亮度的镭射光,所著用的眼睛。
当在瓦斯、蒸汽或与此等混合的粉尘环境作
业,为防止因吸入影响身体健康时,所使用
具有吸氧过滤机构的面罩。面罩的面体有可
覆盖脸全部的全面型,以及罩住口鼻部位的
版面型等面罩。
G5012 Emergency telephone
紧急电话
G5013 Emergency exhaust system
紧急排气系统
G5016 Emergency light
紧急照明灯
G5017 Illuminating exit sign
通路引导指示灯
G5018 Illuminating exit route light
自动灭火喷水系统
G5019 Sprinkler system
自动灭火喷水系统
G5029 Emergency wafer shower
紧急淋浴设备
G5030 Eye washer
眼睛洗涤器
G5031 Safety glassed
安全眼睛
G5032 Gas mask
防毒气面罩
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
当发生火灾,在缺氧或有毒瓦斯产生场所作
业时,所使用自备空气的自给式呼吸器。使
用时间因不是很久,仅供紧急或急救用。
为保护地球环境及防止公害,系针对某地域
或事业工厂所排放的废水,废气等总排放量
加以规章的制度,或加以限制外,尚有浓度
限制。
系指一般人在含有有害瓦斯的环境下,进行
一日8小时中程度的作业,且长时间连续工
作亦不致损害健康程度的瓦斯浓度界限值。
此一浓度界限值应依据美国产业卫生评鉴会
议(SCGIH)的劝告门槛限值((threshold limit
value,简称TLV)参考订定。此外,所谓有毒
瓦斯,系指允许浓度在200ppm以下者。
G5033 Self-contained breathing apparatus
自给式呼吸器
G5036 Total quantity restriction
总量限制
G5037 Allowable concentration
允许浓度
G. 6 工业自动化(Factory Automation,简称 FA)用语
6. 1 电脑一贯作业制造(compute integrated manufacturing;简称CIM)用语
编号 用语(英文/中文) 用 语 说 明
G6101 Computer integrated manufacturing 系鉴与生产所有咨讯,使用电脑网路及资料
(CIM) 库(data base),经由总括的控制与管理,图谋
电脑一贯作业制造 生产活动最适化的系统。
G6103 Local area network
区域网路
系指分散配置在企业内,经由附加多数的通
信功能[诸如计算机,终端机及FA(factory
automation)等机器],彼此间互相连接一起,
将咨讯通信加以高速且有系统的进行者。例
如半导体制造工厂的案例,系以工厂单位,
生产线单位,区块单位(block)等,较狭窄范
围所购筑以太网路(Ethernet),所代表的高
速网路。
系指以主机(host computer)为主的资讯,为能
在线上加以操作的通信终端机。终端机可以
设置在离电脑主机很远的地方,然后透过各
种通信线路与主机连线作业。位在洁净室内
的生产线终端机,可进行制程资讯的确认,
有时在自动化线有故障时可进行紧急对策。
G6105 Terminal
终端机
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
1. 中央处理器,能把计算机能力提供给与其
相连的终端设备和周边设备。
2. 远程网路或本地网路对话间的主营计算
机。
3. 在计算机网路中,对终端用户提供计算,
或例如资料库存取的服务
4. 一般指处理速度快,主记忆容量大,能够
连接数个小型电脑和终端机的大型电脑
而言,并提供复杂的计算、单杠的存取和
各种程式语言等服务。
另称区块控制器(block controller)或功能胞
控制器(cell controller)。若要构筑分散处理系
统时,将同一功能的装置,按每一线或每一
功能胞业总成单位,进行资讯、控制用控制
器。
G6106 Host computer
电脑主机
G6107 Block host computer
区块主机
G6109 Semiconductor equipment 系指将半导体制造装置与工厂的电脑足迹,
community standard 以通信线路为媒介,互相加以连接的规格。
半导体制造装置共同基准
G6110
G6112
Communication protocal
通信协定
Process recipe
制程处方
系指当经由电脑进行通信之际,有关通信手
续的规章约定。
系在事前准备,以可再利用指令、设定值或
辅助变数,经由制程执行资源加以控制,而
将从材料面所看制程环境加以设定者。有时
处方按每次执行时或每一制程周期会被加以
变更。
系意味着与输送有关的所有资讯。其所显示
范围属广域。就目前的自动输送系统而言,
不仅为输送物料,依实际需要经由电脑来管
理输送资讯[诸如,批次号码(lot No.)、匣盒
号码(cassette No.) 。从何处至何处(From-To0
等等,已成为常识。类同的英文用词有
Transportation information。
系为管理完成的过程,构成该过程的种种要
素,或显示该等要素的顺序关系等咨询。
系指材料、零件或产品等单位体或单位量。
由其目的批量可成为订货批量。采购批量,
生产批量,运输批量,检查批量等。
系指处理中的材料。或投入制程内的所有半
成品谓之。
系指按多目标且容许软性检索,所管理的资
料堆积系统,或应多数的独立使用者要求,
将资料加以接纳、储存,或为供应资料的堆
积系统。
G6113 Material movement information
物料输送资讯
Transportation information
输送资讯
G6114
G6115
Process information
制程资讯
Lot
批
Work in process (WIP)
处理之中工作
Data base system
资料库系统
G6116
G6117
精品文档
精品文档
编号
G6118
用语(英文/中文)
Process management system
制程管理系统
用 语 说 明
系按产品种类不同,对每一装置或每一制程,
所设定处理条件的管理。先确定是否按所设
定条件,材料被正确加以处理,并作制程良
率的判定,及执行处理条件的归属处理。
系指为涂抹最妥适的生产,所编成诸管理活
动的总和系统。
系指对工厂生产活动的理想状态与水平,加
以决定或被决定者谓之。
G6119 Production management system
生产管理系统
G6120 Production schedule
生产预定计划
G6121 Equipment utilization management 系将设备履历资料(诸如,运转率,现在状
system 态)加以监视,而进行今后装置的保养或校
设备利用管理系统 准的预定规则。
G6122 Work in process tracking system
工件处理中的追踪系统
系指工作进行状态的统治体系谓之。亦可说
系进度管理或按期管理。为应日程进度计划
将缴纳日期加以维持,须将每天工作的进度
加以整修,而成一串业务的重复。
系将符合于卖方要求品质的商品或服务项
目,为能经济地制造出来的管理系统。
系指与半导体制造装置有关的可靠性,可用
性及可维护性,作为用语或装置状态的定义,
以及将这些测试、评价方法的指针,加以订
定的规格。
系指装置在规定条件内,在规定时间内,可
达成所定功能的机率。
系指在指定时间内,至完成计划功能状态为
止,能使装置复员的机率。
系指当装置被要求时,能独自完成该计划功
能的机率。
系指制造装置,处于能完成所计划功能状态
的时间。此一时间包括生产,准备及加工处
理,但并不包括非计划的时间。
系指制造装置,处于不能完成所计划功能状
态的时间。单不包括计划外的时间。
系指平均失效间隔内制程的重复。亦系指装
置的失效与失效间,装置重复制程的平均值。
系将全装置的制程重复以失效次数除之商值
(包括生产的制程重复与非生产的制程重
复)。
系指平均失效间隔(生产中)时间。亦系在
装置的失效与失效间,已达成计划功能时间
的平均值。系将全生产时间以该时间的失效
次数除之商值。有关此一计算仅包括生产时
间。在6分钟以内称为平均辅助间隔时间
MTBA(mean time between assist)。
G6123 Quality control system
品质管理系统
G6124 Reliability availability
maintainability (RAM)
可靠性、可用性、可维护性
G6125 Equipment reliability
设备可靠度
G6126 Equipment maintainability
设备可维护度
G6127 Equipment availability
设备可用度
G6128 Up time
正常运转时间
G6129 Down time
故障时间。停机时间
G6130 Mean cycle between failure
平均间隔周期
G6131 Mean time between failure
平均失效间隔时间
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系指平均维修时间。系修理故障直到装置完
成该计划功能状态为止,完全复员所需要的
平均时间。系在指定时间内,将故障修理时
间的总和(虽包括装置与处理工程的试验时
间,但修理延误时间并不包括在内),以该时
间的故障次数除之商值。
系指预防处理,装置测试及制程测试的总和。
系在生产线上,处理中的工件(WIP),位在
何处,处于何种状态(例如,加工中或背保
管中),加以正确的监视及追踪的系统。此一
资讯可利用用于作预定计划 (scheduling),
以及制程履历管理。
系结合多数装置,可构成可以实现综合功能
的线内处理。例如,有光刻照相术
(photolithography)制程涂敷装置,曝光装
置,显影装置,洗涤装置及检验装置等编组。
系指没以电脑主机的连接,而以装置单体所
执行的装置。操作者从装置的操作盘进行操
作及监视
系指在电脑主机的管理下装置所执行的处
理。主机系以通信为媒介,将装置的状态加
以监视,且进行处理的控制。
G6132 Mean time to repair
平均维修时间
G6133 Preventive maintenance
预防维修
G6134 Work in process (WIP) tracking
工件处理的追踪
G6135 In-line processing
线内处理
G6136 Off line process
离线处理
G6137 On line process
线上处理
6. 2 输送(transportation)装置用语
编号 用语(英文/中文) 用 语 说 明
G6201 Air (gas) floating transportation 系指不与输送管道碰触,而可将晶圆加以输
system 送的装置,将输送管道开启多数小孔,从下
飘浮空气中输送装置 端喷出空气(或气体),籍以将晶圆加以飘浮
起来。其移动系靠空气(气体)的推力或线
性马达来达成之。
G6202 Cassette identifier (ID)
匣盒识别符号
系指为谋求晶圆存放匣盒与管理资讯间的一
致,所附加易于搜寻的媒体。此一媒体有条
形码(bar code),ID标签,磁卡及IC卡等方
式。
系指在无轨道上行走,可搭载产品、机器零
件,而以电磁驱动的无人运输车。其引导系
追踪贴在地面上得导引胶带来行走。
G6203 Automatic guided vehicle (AGV)
自动导引地面运输工具
G6204 Automatic guided vehicle with robot 系指在上搭载机械人,可将产品的搭载卸载
自动导引机械人运输车 与运输,自动加以执行的装置。该机械人运
输车因在各自制造装置间移动,因而须对装
置全体施加防尘对策。
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系可在洁净区使用,具有防灰尘、无冲击控
制,及防静电等功能的机械人。亦系对应于
洁净环境的产业用机械人的总称。
系指将晶圆从某一位置,逐片个别输送至另
一位置的装置。
系将晶圆搭载在匣盒(cassette)内,以匣盒
单位由某一位置,输送至另一位置的装置。
系指为将收纳在匣盒的晶圆,保存且保管在
高洁净环境的储存库。
G6205 Clean robot
洁净区机械人
G6206 Single wafer transfer system
单片晶圆输送系统
G6207 Cassette load wafer transfer system
盒装式晶圆输送系统
G6211 Clean stocker
洁净储存库
Clean storage equipment
洁净储存设备
G6212 Stacker crane
堆叠起重机
Cassette lifter
匣盒升降机
G6213 Pallet
平板架,平台
G6214 Standard mechanical interface
标准机械台面
系洁净储存库(自动仓库)构成要素之一。
系指将收纳有晶圆的匣盒,逐一摆放在匣盒
棚架,或从匣盒棚架取下的升降装置的总称。
系保管或输送物品时,可摆放物品的平台。
系属于可将制造装置间的界面,加以统一规
格之一种。其简称SMIF,其代表范例有
Pod ,及roller等。具有此一界面功能的晶圆
输送携带盒(wafer carrier box)已被发表。
G6215 Transportation between processing 系由多数个制造、检查装置所构成之一部分
unit 区间,当作一个制程,在此系将该等制程间
制程区间输送 晶圆等输送,可自动进行的总称。此一用词
已很少用而由Interbay transportation所取代。
G6216 Automatic transportation system
自动输送系统
G6217 Interbay transportation
制程间输送
系制将输送设备,保管设备,输送管理设备
等加以装配在一起的自动化的总称。
在半导体制造过程中,将成膜组,洗涤组等
各制程组,当作一个制程来看时,系指将此
等制程加以连接的输送。一般而言,每一制
程都有其制程专用的暂存盒(stocker ),所
谓制程间的输送,大都属于暂存盒间的输送。
在半导体制造过程中,将成膜组,洗涤组等
各制程组,当作一个制程来看时,系指将此
等制程加以连接的输送。一般而言,每一制
程都有其制程专用
的暂存盒,所谓制程内的输送,系属暂存盒
与制造装置,或制造装置间的输送。
系指将不同层阶间的输送的输送机器而言,
有洁净升降机(clean elevator)及洁净升降杆
(clean lifter)。类似的用语有interfloor
transportation。
G6218 Intrabay transportation
制程内输送
G6219 Interlevel transportation
层阶间输送
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系依作业员的推力行走的台车。最近已有在
台车上搭载搬运装置,或HEPA单位的台车。
随着晶圆的大口径化,今后此一型手推车将
日益盛行。
系移动于垂直方向的输送机器。与一般的送
菜用升降机(dump waiter)有所不同,其特点系
可防止被输送物来自环境的污染。跨接于层
阶间者称为洁净升降机,跨阶于同一层阶不
同高度者,称为洁净升降杆(clean lifter).
一般而言,系指(可连续搬运货物的机器)。
就半导体制造用而言,与使用在普通环境者
不同,需采用可对应于洁净室的不易产生灰
尘者。
G6220 Pushcart/personnel guided
vehicle/manual guided vehicle
手推车/个人引导车辆/手动引导车
辆
G6221 Clean elevator
洁净升降机
G6222 Conveyer(conveyor)
输送带
G6224 Ceiling-track guided vehicle / 系沿着架设在天花板高度空间的无人输送
overhead track guided vehicle (OHT) 车,其轨道大都属于悬吊式。
架空轨道导引车
Overhead transportation system
悬吊式输送系统
G6228 Transportation amount
输送量
系表示输送设备等输送能力的数值。此一数
值对输送设备加以计划,设计,评价上,将
成为重要的数值。若以50个匣盒每小时的输
送量为例,为对应于生产计划,有需要将最
大值与平均值,通常值与紧急值,现在值与
将来值,从各种角度加以捕捉的必要。
系指晶圆在制程装置间移动时,与洁净室空
气接触的制造系统。
系指将制程撞间,以隧道等加以连接,避免
晶圆与外界空气接触的制造系统。
系由保管架,堆叠起重机,进出库部所构成
的自动残酷的一种。系将被保管物维持在洁
净环境下,为其特点。
G6229 Open manufacturing system
开放型制造系统
G6230 Closed manufacturing system
密封式制造系统
G6231 Stocker
保管库
G. 7 环境用语
编号 用语(英文/中文) 用 语 说 明
系将产品或系统,从其制造至废弃为止,对
环境负荷加以评价谓之。从天然资源的采用,
经制成材料成物品,被输送及被使用,直到
成为耗散能量,或不能回收的废弃物,被加
以处分为止,加诸于所有环境的负荷,总加
起来作一评价。
G7006 Life cycle assessment
寿命周期评估
精品文档
精品文档
编号 用语(英文/中文) 用 语 说 明
系指一度被使用或没被使用而加以收集,或
对被废弃的物品中,认为再利用原材料者,
称为再循环利用。
G7010 Recycle
再循环,资源回收再利用
精品文档
版权声明:本文标题:(整理)晶圆处理工程用语 内容由网友自发贡献,该文观点仅代表作者本人, 转载请联系作者并注明出处:http://roclinux.cn/p/1735470202a1668776.html, 本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容,一经查实,本站将立刻删除。
发表评论